WARNING:Xst:753 - "C:/Users/ckalayci/VHDL Projekte/Timing_tests_200MHz/mig_36_1.vhd" line 483: Unconnected output port 'rd_ecc_error' of component 'ddr2_top'. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:2211 - "C:/Users/ckalayci/VHDL Projekte/Timing_tests_200MHz/ddr2_phy_dqs_iob.vhd" line 185: Instantiating black box module . WARNING:Xst:2211 - "C:/Users/ckalayci/VHDL Projekte/Timing_tests_200MHz/ddr2_phy_dqs_iob.vhd" line 228: Instantiating black box module . WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:37 - Detected unknown constraint/property "syn_useioff". This constraint/property is not supported by the current software release and will be ignored. WARNING:Xst:37 - Detected unknown constraint/property "syn_useioff". This constraint/property is not supported by the current software release and will be ignored. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:37 - Detected unknown constraint/property "syn_useioff". This constraint/property is not supported by the current software release and will be ignored. WARNING:Xst:2211 - "C:/Users/ckalayci/VHDL Projekte/Timing_tests_200MHz/ddr2_phy_dq_iob.vhd" line 338: Instantiating black box module . WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:37 - Detected unknown constraint/property "syn_useioff". This constraint/property is not supported by the current software release and will be ignored. WARNING:Xst:37 - Detected unknown constraint/property "syn_useioff". This constraint/property is not supported by the current software release and will be ignored. WARNING:Xst:37 - Detected unknown constraint/property "syn_useioff". This constraint/property is not supported by the current software release and will be ignored. WARNING:Xst:37 - Detected unknown constraint/property "syn_useioff". This constraint/property is not supported by the current software release and will be ignored. WARNING:Xst:37 - Detected unknown constraint/property "syn_useioff". This constraint/property is not supported by the current software release and will be ignored. WARNING:Xst:37 - Detected unknown constraint/property "syn_useioff". This constraint/property is not supported by the current software release and will be ignored. WARNING:Xst:37 - Detected unknown constraint/property "syn_useioff". This constraint/property is not supported by the current software release and will be ignored. WARNING:Xst:37 - Detected unknown constraint/property "syn_useioff". This constraint/property is not supported by the current software release and will be ignored. WARNING:Xst:37 - Detected unknown constraint/property "syn_useioff". This constraint/property is not supported by the current software release and will be ignored. WARNING:Xst:37 - Detected unknown constraint/property "syn_useioff". This constraint/property is not supported by the current software release and will be ignored. WARNING:Xst:37 - Detected unknown constraint/property "syn_useioff". This constraint/property is not supported by the current software release and will be ignored. WARNING:Xst:37 - Detected unknown constraint/property "syn_useioff". This constraint/property is not supported by the current software release and will be ignored. WARNING:Xst:37 - Detected unknown constraint/property "syn_useioff". This constraint/property is not supported by the current software release and will be ignored. WARNING:Xst:37 - Detected unknown constraint/property "syn_useioff". This constraint/property is not supported by the current software release and will be ignored. WARNING:Xst:37 - Detected unknown constraint/property "syn_useioff". This constraint/property is not supported by the current software release and will be ignored. WARNING:Xst:37 - Detected unknown constraint/property "syn_useioff". This constraint/property is not supported by the current software release and will be ignored. WARNING:Xst:37 - Detected unknown constraint/property "syn_useioff". This constraint/property is not supported by the current software release and will be ignored. WARNING:Xst:37 - Detected unknown constraint/property "syn_useioff". This constraint/property is not supported by the current software release and will be ignored. WARNING:Xst:37 - Detected unknown constraint/property "syn_useioff". This constraint/property is not supported by the current software release and will be ignored. WARNING:Xst:37 - Detected unknown constraint/property "syn_useioff". This constraint/property is not supported by the current software release and will be ignored. WARNING:Xst:37 - Detected unknown constraint/property "syn_useioff". This constraint/property is not supported by the current software release and will be ignored. WARNING:Xst:790 - "C:/Users/ckalayci/VHDL Projekte/Timing_tests_200MHz/ddr2_phy_init.vhd" line 813: Index value(s) does not match array range, simulation mismatch. WARNING:Xst:790 - "C:/Users/ckalayci/VHDL Projekte/Timing_tests_200MHz/ddr2_phy_init.vhd" line 817: Index value(s) does not match array range, simulation mismatch. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "register_duplication" not applicable on a instance. WARNING:Xst:819 - "C:/Users/ckalayci/VHDL Projekte/Timing_tests_200MHz/ddr2_phy_init.vhd" line 1043: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are: WARNING:Xst:790 - "C:/Users/ckalayci/VHDL Projekte/Timing_tests_200MHz/ddr2_phy_init.vhd" line 1443: Index value(s) does not match array range, simulation mismatch. WARNING:Xst:790 - "C:/Users/ckalayci/VHDL Projekte/Timing_tests_200MHz/ddr2_phy_init.vhd" line 1443: Index value(s) does not match array range, simulation mismatch. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:39 - Property "equivalent_register_removal" not applicable on a instance. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:1780 - Signal is never used or assigned. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:1780 - Signal is never used or assigned. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:1780 - Signal is never used or assigned. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:1780 - Signal is never used or assigned. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:1780 - Signal is never used or assigned. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:1780 - Signal is never used or assigned. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:1780 - Signal is never used or assigned. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:1780 - Signal is never used or assigned. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:1780 - Signal is never used or assigned. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:1780 - Signal > is never used or assigned. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:1780 - Signal is never used or assigned. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:1780 - Signal is never used or assigned. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:1780 - Signal is never used or assigned. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:1780 - Signal is never used or assigned. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:1305 - Output is never assigned. Tied to value 00. WARNING:Xst:1780 - Signal is never used or assigned. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:1780 - Signal is never used or assigned. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:1780 - Signal is never used or assigned. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:1780 - Signal is never used or assigned. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:1780 - Signal is never used or assigned. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:1780 - Signal is never used or assigned. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:1780 - Signal is never used or assigned. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:1780 - Signal is never used or assigned. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:1780 - Signal is never used or assigned. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:1780 - Signal is never used or assigned. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:1780 - Signal is never used or assigned. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:1780 - Signal is never used or assigned. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:1780 - Signal is never used or assigned. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:1780 - Signal is never used or assigned. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:1780 - Signal is never used or assigned. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:1780 - Signal is never used or assigned. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:1780 - Signal is never used or assigned. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:1780 - Signal is never used or assigned. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2404 - FFs/Latches > (without init value) have a constant value of 0 in block . WARNING:Xst:2404 - FFs/Latches > (without init value) have a constant value of 0 in block . WARNING:Xst:2404 - FFs/Latches > (without init value) have a constant value of 0 in block . WARNING:Xst:2404 - FFs/Latches > (without init value) have a constant value of 0 in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:1229 - Maxfanout of 1 on signal cannot be satisfied WARNING:Xst:1229 - Maxfanout of 1 on signal cannot be satisfied WARNING:Xst:1229 - Maxfanout of 1 on signal cannot be satisfied WARNING:Xst:1229 - Maxfanout of 1 on signal cannot be satisfied WARNING:Xst:1229 - Maxfanout of 1 on signal cannot be satisfied WARNING:Xst:1229 - Maxfanout of 1 on signal cannot be satisfied WARNING:Xst:1229 - Maxfanout of 1 on signal cannot be satisfied WARNING:Xst:1229 - Maxfanout of 1 on signal cannot be satisfied WARNING:Xst:1229 - Maxfanout of 1 on signal cannot be satisfied WARNING:Xst:1229 - Maxfanout of 1 on signal cannot be satisfied WARNING:Xst:1229 - Maxfanout of 1 on signal cannot be satisfied WARNING:Xst:1229 - Maxfanout of 1 on signal cannot be satisfied WARNING:Xst:1229 - Maxfanout of 1 on signal cannot be satisfied WARNING:Xst:1229 - Maxfanout of 1 on signal cannot be satisfied WARNING:Xst:1229 - Maxfanout of 1 on signal cannot be satisfied WARNING:Xst:1229 - Maxfanout of 1 on signal cannot be satisfied WARNING:NgdBuild:443 - SFF primitive 'DDR2_RAM_CORE/u_ddr2_top_0/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_r den[1].u_calib_rden_r' has unconnected output pin WARNING:NgdBuild:443 - SFF primitive 'DDR2_RAM_CORE/u_ddr2_top_0/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_r den[2].u_calib_rden_r' has unconnected output pin WARNING:NgdBuild:443 - SFF primitive 'DDR2_RAM_CORE/u_ddr2_top_0/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_r den[3].u_calib_rden_r' has unconnected output pin WARNING:NgdBuild:443 - SFF primitive 'DDR2_RAM_CORE/u_ddr2_top_0/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_r den[4].u_calib_rden_r' has unconnected output pin WARNING:NgdBuild:443 - SFF primitive 'DDR2_RAM_CORE/u_ddr2_top_0/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_r den[5].u_calib_rden_r' has unconnected output pin WARNING:NgdBuild:443 - SFF primitive 'DDR2_RAM_CORE/u_ddr2_top_0/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_r den[6].u_calib_rden_r' has unconnected output pin WARNING:NgdBuild:443 - SFF primitive 'DDR2_RAM_CORE/u_ddr2_top_0/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_r den[7].u_calib_rden_r' has unconnected output pin WARNING:NgdBuild:452 - logical net 'N1212' has no driver WARNING:NgdBuild:452 - logical net 'N1213' has no driver WARNING:NgdBuild:452 - logical net 'N1214' has no driver WARNING:NgdBuild:452 - logical net 'N1215' has no driver WARNING:NgdBuild:452 - logical net 'N1216' has no driver WARNING:NgdBuild:452 - logical net 'N1217' has no driver WARNING:NgdBuild:452 - logical net 'N1218' has no driver WARNING:NgdBuild:452 - logical net 'N1219' has no driver WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[0].u_ ramb36/U_RAMB36 of frag REGCLKAU connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[0].u_ ramb36/U_RAMB36_REGCLKAU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[0].u_ ramb36/U_RAMB36 of frag REGCLKAL connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[0].u_ ramb36/U_RAMB36_REGCLKAL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[0].u_ ramb36/U_RAMB36 of frag REGCLKBU connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[0].u_ ramb36/U_RAMB36_REGCLKBU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[0].u_ ramb36/U_RAMB36 of frag REGCLKBL connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[0].u_ ramb36/U_RAMB36_REGCLKBL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[10].u _ramb36/U_RAMB36 of frag REGCLKAU connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[10].u _ramb36/U_RAMB36_REGCLKAU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[10].u _ramb36/U_RAMB36 of frag REGCLKAL connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[10].u _ramb36/U_RAMB36_REGCLKAL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[10].u _ramb36/U_RAMB36 of frag REGCLKBU connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[10].u _ramb36/U_RAMB36_REGCLKBU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[10].u _ramb36/U_RAMB36 of frag REGCLKBL connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[10].u _ramb36/U_RAMB36_REGCLKBL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[11].u _ramb36/U_RAMB36 of frag REGCLKAU connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[11].u _ramb36/U_RAMB36_REGCLKAU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[11].u _ramb36/U_RAMB36 of frag REGCLKAL connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[11].u _ramb36/U_RAMB36_REGCLKAL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[11].u _ramb36/U_RAMB36 of frag REGCLKBU connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[11].u _ramb36/U_RAMB36_REGCLKBU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[11].u _ramb36/U_RAMB36 of frag REGCLKBL connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[11].u _ramb36/U_RAMB36_REGCLKBL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[12].u _ramb36/U_RAMB36 of frag REGCLKAU connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[12].u _ramb36/U_RAMB36_REGCLKAU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[12].u _ramb36/U_RAMB36 of frag REGCLKAL connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[12].u _ramb36/U_RAMB36_REGCLKAL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[12].u _ramb36/U_RAMB36 of frag REGCLKBU connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[12].u _ramb36/U_RAMB36_REGCLKBU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[12].u _ramb36/U_RAMB36 of frag REGCLKBL connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[12].u _ramb36/U_RAMB36_REGCLKBL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[13].u _ramb36/U_RAMB36 of frag REGCLKAU connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[13].u _ramb36/U_RAMB36_REGCLKAU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[13].u _ramb36/U_RAMB36 of frag REGCLKAL connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[13].u _ramb36/U_RAMB36_REGCLKAL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[13].u _ramb36/U_RAMB36 of frag REGCLKBU connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[13].u _ramb36/U_RAMB36_REGCLKBU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[13].u _ramb36/U_RAMB36 of frag REGCLKBL connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[13].u _ramb36/U_RAMB36_REGCLKBL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[1].u_ ramb36/U_RAMB36 of frag REGCLKAU connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[1].u_ ramb36/U_RAMB36_REGCLKAU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[1].u_ ramb36/U_RAMB36 of frag REGCLKAL connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[1].u_ ramb36/U_RAMB36_REGCLKAL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[1].u_ ramb36/U_RAMB36 of frag REGCLKBU connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[1].u_ ramb36/U_RAMB36_REGCLKBU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[1].u_ ramb36/U_RAMB36 of frag REGCLKBL connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[1].u_ ramb36/U_RAMB36_REGCLKBL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[2].u_ ramb36/U_RAMB36 of frag REGCLKAU connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[2].u_ ramb36/U_RAMB36_REGCLKAU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[2].u_ ramb36/U_RAMB36 of frag REGCLKAL connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[2].u_ ramb36/U_RAMB36_REGCLKAL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[2].u_ ramb36/U_RAMB36 of frag REGCLKBU connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[2].u_ ramb36/U_RAMB36_REGCLKBU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[2].u_ ramb36/U_RAMB36 of frag REGCLKBL connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[2].u_ ramb36/U_RAMB36_REGCLKBL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[3].u_ ramb36/U_RAMB36 of frag REGCLKAU connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[3].u_ ramb36/U_RAMB36_REGCLKAU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[3].u_ ramb36/U_RAMB36 of frag REGCLKAL connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[3].u_ ramb36/U_RAMB36_REGCLKAL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[3].u_ ramb36/U_RAMB36 of frag REGCLKBU connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[3].u_ ramb36/U_RAMB36_REGCLKBU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[3].u_ ramb36/U_RAMB36 of frag REGCLKBL connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[3].u_ ramb36/U_RAMB36_REGCLKBL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[4].u_ ramb36/U_RAMB36 of frag REGCLKAU connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[4].u_ ramb36/U_RAMB36_REGCLKAU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[4].u_ ramb36/U_RAMB36 of frag REGCLKAL connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[4].u_ ramb36/U_RAMB36_REGCLKAL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[4].u_ ramb36/U_RAMB36 of frag REGCLKBU connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[4].u_ ramb36/U_RAMB36_REGCLKBU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[4].u_ ramb36/U_RAMB36 of frag REGCLKBL connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[4].u_ ramb36/U_RAMB36_REGCLKBL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[5].u_ ramb36/U_RAMB36 of frag REGCLKAU connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[5].u_ ramb36/U_RAMB36_REGCLKAU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[5].u_ ramb36/U_RAMB36 of frag REGCLKAL connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[5].u_ ramb36/U_RAMB36_REGCLKAL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[5].u_ ramb36/U_RAMB36 of frag REGCLKBU connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[5].u_ ramb36/U_RAMB36_REGCLKBU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[5].u_ ramb36/U_RAMB36 of frag REGCLKBL connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[5].u_ ramb36/U_RAMB36_REGCLKBL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[6].u_ ramb36/U_RAMB36 of frag REGCLKAU connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[6].u_ ramb36/U_RAMB36_REGCLKAU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[6].u_ ramb36/U_RAMB36 of frag REGCLKAL connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[6].u_ ramb36/U_RAMB36_REGCLKAL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[6].u_ ramb36/U_RAMB36 of frag REGCLKBU connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[6].u_ ramb36/U_RAMB36_REGCLKBU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[6].u_ ramb36/U_RAMB36 of frag REGCLKBL connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[6].u_ ramb36/U_RAMB36_REGCLKBL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[7].u_ ramb36/U_RAMB36 of frag REGCLKAU connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[7].u_ ramb36/U_RAMB36_REGCLKAU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[7].u_ ramb36/U_RAMB36 of frag REGCLKAL connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[7].u_ ramb36/U_RAMB36_REGCLKAL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[7].u_ ramb36/U_RAMB36 of frag REGCLKBU connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[7].u_ ramb36/U_RAMB36_REGCLKBU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[7].u_ ramb36/U_RAMB36 of frag REGCLKBL connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[7].u_ ramb36/U_RAMB36_REGCLKBL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[8].u_ ramb36/U_RAMB36 of frag REGCLKAU connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[8].u_ ramb36/U_RAMB36_REGCLKAU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[8].u_ ramb36/U_RAMB36 of frag REGCLKAL connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[8].u_ ramb36/U_RAMB36_REGCLKAL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[8].u_ ramb36/U_RAMB36 of frag REGCLKBU connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[8].u_ ramb36/U_RAMB36_REGCLKBU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[8].u_ ramb36/U_RAMB36 of frag REGCLKBL connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[8].u_ ramb36/U_RAMB36_REGCLKBL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[9].u_ ramb36/U_RAMB36 of frag REGCLKAU connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[9].u_ ramb36/U_RAMB36_REGCLKAU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[9].u_ ramb36/U_RAMB36 of frag REGCLKAL connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[9].u_ ramb36/U_RAMB36_REGCLKAL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[9].u_ ramb36/U_RAMB36 of frag REGCLKBU connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[9].u_ ramb36/U_RAMB36_REGCLKBU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[9].u_ ramb36/U_RAMB36 of frag REGCLKBL connected to power/ground net U_ila_pro_0/U0/I_YES_D.U_ILA/U_CAPSTOR/I_CASE1.I_YES_TB.U_TRACE_BUFFER/U_RAM/ I_V5.U_CS_BRAM_CASCADE_V5/I_DEPTH_LTEQ_32K.U_SBRAM_0/I_B36KGT0.G_RAMB36[9].u_ ramb36/U_RAMB36_REGCLKBL_tiesig WARNING:Timing:3223 - Timing constraint TS_MC_PHY_INIT_DATA_SEL_90 = MAXDELAY FROM TIMEGRP "TNM_PHY_INIT_DATA_SEL" TO TIMEGRP "RAMS" TS_SYS_CLK * 4 ignored during timing analysis. WARNING:Timing:3223 - Timing constraint TS_MC_PHY_INIT_DATA_SEL_90 = MAXDELAY FROM TIMEGRP "TNM_PHY_INIT_DATA_SEL" TO WARNING:Par:468 - Your design did not meet timing. The following are some suggestions to assist you to meet timing in your design. WARNING:Timing:3223 - Timing constraint TS_MC_PHY_INIT_DATA_SEL_90 = MAXDELAY FROM TIMEGRP "TNM_PHY_INIT_DATA_SEL" TO TIMEGRP "RAMS" TS_SYS_CLK * 4; ignored during timing analysis. WARNING:PhysDesignRules:372 - Gated clock. Clock net icon_control0<13> is sourced by a combinatorial pin. This is not good design practice. Use the CE pin to control the loading of data into the flip-flop. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored. WARNING:PhysDesignRules:1412 - Issue with pin connections and/or configuration on block::. When DELAY_SRC is not DATAIN programming the DATAIN input pin is not used and will be ignored.