Warning (20028): Parallel compilation is not licensed and has been disabled Warning (13034): The following nodes have both tri-state and non-tri-state drivers Warning (13035): Inserted always-enabled tri-state buffer between "sram_data[0]" and its non-tri-state driver. Warning (13035): Inserted always-enabled tri-state buffer between "sram_data[1]" and its non-tri-state driver. Warning (13035): Inserted always-enabled tri-state buffer between "sram_data[2]" and its non-tri-state driver. Warning (13035): Inserted always-enabled tri-state buffer between "sram_data[3]" and its non-tri-state driver. Warning (13035): Inserted always-enabled tri-state buffer between "sram_data[4]" and its non-tri-state driver. Warning (13035): Inserted always-enabled tri-state buffer between "sram_data[5]" and its non-tri-state driver. Warning (13035): Inserted always-enabled tri-state buffer between "sram_data[6]" and its non-tri-state driver. Warning (13035): Inserted always-enabled tri-state buffer between "sram_data[7]" and its non-tri-state driver. Warning (13035): Inserted always-enabled tri-state buffer between "sram_data[8]" and its non-tri-state driver. Warning (13035): Inserted always-enabled tri-state buffer between "sram_data[9]" and its non-tri-state driver. Warning (13035): Inserted always-enabled tri-state buffer between "sram_data[10]" and its non-tri-state driver. Warning (13035): Inserted always-enabled tri-state buffer between "sram_data[11]" and its non-tri-state driver. Warning (13035): Inserted always-enabled tri-state buffer between "sram_data[12]" and its non-tri-state driver. Warning (13035): Inserted always-enabled tri-state buffer between "sram_data[13]" and its non-tri-state driver. Warning (13035): Inserted always-enabled tri-state buffer between "sram_data[14]" and its non-tri-state driver. Warning (13035): Inserted always-enabled tri-state buffer between "sram_data[15]" and its non-tri-state driver. Warning (13009): TRI or OPNDRN buffers permanently enabled Warning (13010): Node "sram_data[0]~synth" Warning (13010): Node "sram_data[1]~synth" Warning (13010): Node "sram_data[2]~synth" Warning (13010): Node "sram_data[3]~synth" Warning (13010): Node "sram_data[4]~synth" Warning (13010): Node "sram_data[5]~synth" Warning (13010): Node "sram_data[6]~synth" Warning (13010): Node "sram_data[7]~synth" Warning (13010): Node "sram_data[8]~synth" Warning (13010): Node "sram_data[9]~synth" Warning (13010): Node "sram_data[10]~synth" Warning (13010): Node "sram_data[11]~synth" Warning (13010): Node "sram_data[12]~synth" Warning (13010): Node "sram_data[13]~synth" Warning (13010): Node "sram_data[14]~synth" Warning (13010): Node "sram_data[15]~synth" Warning (13024): Output pins are stuck at VCC or GND Warning (13410): Pin "lcd_rw" is stuck at GND Warning (13410): Pin "lcd_an" is stuck at VCC Warning (13410): Pin "sram_lower_byte" is stuck at GND Warning (13410): Pin "sram_upper_byte" is stuck at GND Warning (21074): Design contains 9 input pin(s) that do not drive logic Warning (15610): No output dependent on input pin "sw_an" Warning (15610): No output dependent on input pin "ascii[0]" Warning (15610): No output dependent on input pin "ascii[1]" Warning (15610): No output dependent on input pin "ascii[2]" Warning (15610): No output dependent on input pin "ascii[3]" Warning (15610): No output dependent on input pin "ascii[4]" Warning (15610): No output dependent on input pin "ascii[5]" Warning (15610): No output dependent on input pin "ascii[6]" Warning (15610): No output dependent on input pin "ascii[7]" Warning (20028): Parallel compilation is not licensed and has been disabled Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details Warning (15705): Ignored locations or region assignments to the following nodes Warning (15706): Node "led_test2" is assigned to location or region, but does not exist in design Warning (15706): Node "reset" is assigned to location or region, but does not exist in design Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information. Warning (169064): Following 16 pins have no output enable or a GND or VCC output enable - later changes to this connectivity may change fitting results Info (169065): Pin sram_data[0] has a permanently enabled output enable Info (169065): Pin sram_data[1] has a permanently enabled output enable Info (169065): Pin sram_data[2] has a permanently enabled output enable Info (169065): Pin sram_data[3] has a permanently enabled output enable Info (169065): Pin sram_data[4] has a permanently enabled output enable Info (169065): Pin sram_data[5] has a permanently enabled output enable Info (169065): Pin sram_data[6] has a permanently enabled output enable Info (169065): Pin sram_data[7] has a permanently enabled output enable Info (169065): Pin sram_data[8] has a permanently enabled output enable Info (169065): Pin sram_data[9] has a permanently enabled output enable Info (169065): Pin sram_data[10] has a permanently enabled output enable Info (169065): Pin sram_data[11] has a permanently enabled output enable Info (169065): Pin sram_data[12] has a permanently enabled output enable Info (169065): Pin sram_data[13] has a permanently enabled output enable Info (169065): Pin sram_data[14] has a permanently enabled output enable Info (169065): Pin sram_data[15] has a permanently enabled output enable Warning (20028): Parallel compilation is not licensed and has been disabled