-- Project: Retrocomputing rebuild Z1013 Computer on Spartan3-Kit -- RAM for CPU -- 16k x 8bit -- to shorten Booting the ram is initialized with -- JMP to Monitorprogramm -- -- Author: FPGAkuechle -- this sources are declared to Open Source by the author library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.pkg_redz0mb1e.all; entity ram_sys is generic(G_System : T_SYSTEM := DEV); port( clk : in std_logic; cs_ni : in std_logic; we_ni : in std_logic; data_o : out std_logic_vector(7 downto 0); data_i : in std_logic_vector(7 downto 0); addr_i : in std_logic_vector(15 downto 0)); end entity ram_sys; architecture behave of ram_sys is subtype T_INDEX is integer range 2**14 - 1 downto 0; signal addr_integer : T_INDEX; subtype T_WORD is integer range 255 downto 0; type T_RAM is array (T_INDEX'low to T_INDEX'high) of T_word; --JMP PROM as first instruction constant C_RAM_ARRAY_INIT : T_RAM := ( --16#3E#, 16#0F#, 16#D3#, 16#08#, -- LD A, 7| OUT x10| out x7 to kyb driver --16#3E#, 16#03#, 16#D3#, 16#08#, -- LD A, 3| OUT x10| out x3 to kyb driver --16#3E#, 16#00#, 16#D3#, 16#08#, -- LD A, 0| OUT x10| out x0 to kyb driver --16#DB#, 16#00#, 16#DB#, 16#02#, -- IN x00 | IN x02 | IN DATA PIO --16#DB#, 16#01#, 16#D3#, 16#03#, -- IN x01 | IN x03 | IN CTRL PIO --16#3E#, 16#FF#, 16#D3#, 16#00#, -- LD A,FF| OUT x00| out x7 --16#3E#, 16#FF#, 16#D3#, 16#02#, -- LD A,FF| OUT x02| out x7 16#C3#, 16#00#, 16#F0#,16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, --jump to prom --others => 0); -- (0 to 16#00FF# => 16#00#), -- 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, --0x00 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, --0x10 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, --0x20 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, --0x30 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, --0x40 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, --0x50 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, --0x60 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, --0x70 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, --0x80 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, --0x90 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, --0xA0 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, --0xB0 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, --0xC0 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, --0xD0 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, --0xE0 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, --0xF0 16#c3#, 16#af#, 16#07#, 16#c3#, 16#bb#, 16#01#, 16#e7#, 16#00#, 16#c9#, 16#e7#, 16#01#, 16#c9#, 16#e7#, 16#08#, 16#c9#, 16#e7#, --0x100 16#09#, 16#c9#, 16#e7#, 16#12#, 16#c9#, 16#e7#, 16#13#, 16#c9#, 16#7c#, 16#ba#, 16#c0#, 16#7d#, 16#bb#, 16#c9#, 16#1a#, 16#fe#, 16#20#, 16#c0#, 16#13#, 16#18#, 16#f9#, 16#f1#, 16#cd#, 16#1b#, 16#06#, 16#c3#, 16#36#, 16#06#, 16#cd#, 16#1e#, 16#01#, 16#d6#, 16#40#, 16#d8#, 16#20#, 16#1b#, 16#13#, 16#cd#, 16#75#, 16#05#, 16#29#, 16#da#, 16#9d#, 16#01#, 16#d5#, 16#eb#, 16#cd#, 16#b6#, 16#05#, 16#cd#, 16#18#, 16#01#, 16#da#, 16#66#, 16#06#, 16#2a#, 16#4c#, 16#11#, 16#cd#, 16#d8#, 16#05#, 16#d1#, 16#c9#, 16#fe#, 16#1b#, 16#3f#, 16#d8#, 16#13#, 16#21#, 16#15#, 16#11#, 16#07#, 16#85#, 16#6f#, 16#3e#, 16#00#, 16#8c#, 16#67#, 16#c9#, 16#e3#, 16#cd#, 16#1e#, 16#01#, 16#be#, 16#23#, 16#28#, 16#08#, 16#d5#, 16#5e#, 16#23#, 16#56#, 16#eb#, 16#d1#, 16#18#, 16#01#, 16#13#, 16#23#, 16#23#, 16#e3#, 16#c9#, 16#21#, 16#00#, 16#00#, 16#44#, 16#cd#, 16#1e#, 16#01#, 16#fe#, 16#30#, 16#d8#, 16#fe#, 16#3a#, 16#d0#, 16#3e#, 16#f0#, 16#a4#, 16#20#, 16#17#, 16#04#, 16#c5#, 16#44#, 16#4d#, 16#29#, 16#29#, 16#09#, 16#29#, 16#1a#, 16#13#, 16#e6#, 16#0f#, 16#85#, 16#6f#, 16#3e#, 16#00#, 16#8c#, 16#67#, 16#c1#, 16#1a#, 16#f2#, 16#7b#, 16#01#, 16#d5#, 16#11#, 16#a4#, 16#01#, 16#c3#, 16#3a#, 16#06#, 16#48#, 16#4f#, 16#57#, 16#3f#, 16#0d#, 16#52#, 16#45#, 16#41#, 16#44#, 16#59#, 16#0d#, 16#57#, 16#48#, 16#41#, 16#54#, 16#3f#, 16#0d#, 16#53#, 16#4f#, 16#52#, 16#52#, 16#59#, 16#0d#, 16#31#, 16#13#, 16#11#, 16#cd#, 16#15#, 16#01#, 16#11#, 16#a9#, 16#01#, 16#97#, 16#cd#, 16#c3#, 16#06#, 16#21#, 16#d4#, 16#01#, 16#22#, 16#0b#, 16#10#, 16#3e#, 16#ff#, 16#32#, 16#02#, 16#10#, 16#21#, 16#00#, 16#00#, 16#22#, 16#13#, 16#10#, 16#22#, 16#0d#, 16#10#, 16#3e#, 16#3e#, 16#cd#, 16#6c#, 16#06#, 16#d5#, 16#ed#, 16#5b#, 16#4e#, 16#11#, 16#cd#, 16#74#, 16#01#, 16#cd#, 16#1e#, 16#01#, 16#7c#, 16#b5#, 16#c1#, 16#ca#, 16#34#, 16#09#, 16#1b#, 16#7c#, 16#12#, 16#1b#, 16#7d#, 16#12#, 16#c5#, 16#d5#, 16#79#, 16#93#, 16#f5#, 16#cd#, 16#9c#, 16#06#, 16#d5#, 16#20#, 16#10#, 16#d5#, 16#cd#, 16#b9#, 16#06#, 16#c1#, 16#2a#, 16#1f#, 16#10#, 16#cd#, 16#55#, 16#07#, 16#60#, 16#69#, 16#22#, 16#1f#, 16#10#, 16#c1#, 16#2a#, 16#1f#, 16#10#, 16#f1#, 16#e5#, 16#fe#, 16#03#, 16#ca#, 16#bb#, 16#01#, 16#85#, 16#6f#, 16#3e#, 16#00#, 16#8c#, 16#67#, 16#ed#, 16#5b#, 16#4c#, 16#11#, 16#cd#, 16#18#, 16#01#, 16#d2#, 16#66#, 16#06#, 16#22#, 16#1f#, 16#10#, 16#d1#, 16#cd#, 16#5f#, 16#07#, 16#d1#, 16#e1#, 16#cd#, 16#55#, 16#07#, 16#c3#, 16#dc#, 16#01#, 16#cd#, 16#30#, 16#06#, 16#21#, 16#52#, 16#11#, 16#22#, 16#1f#, 16#10#, 16#cd#, 16#30#, 16#06#, 16#c3#, 16#bb#, 16#01#, 16#cd#, 16#30#, 16#06#, 16#11#, 16#52#, 16#11#, 16#21#, 16#00#, 16#00#, 16#cd#, 16#a4#, 16#06#, 16#da#, 16#bb#, 16#01#, 16#ed#, 16#53#, 16#0b#, 16#10#, 16#13#, 16#13#, 16#cd#, 16#86#, 16#0b#, 16#21#, 16#37#, 16#08#, 16#c3#, 16#37#, 16#09#, 16#cd#, 16#77#, 16#04#, 16#d5#, 16#cd#, 16#30#, 16#06#, 16#cd#, 16#9c#, 16#06#, 16#c2#, 16#9e#, 16#01#, 16#f1#, 16#c3#, 16#5b#, 16#02#, 16#cd#, 16#74#, 16#01#, 16#cd#, 16#30#, 16#06#, 16#7c#, 16#b5#, 16#28#, 16#05#, 16#3e#, 16#14#, 16#32#, 16#02#, 16#10#, 16#cd#, 16#9c#, 16#06#, 16#da#, 16#bb#, 16#01#, 16#cd#, 16#40#, 16#07#, 16#cd#, 16#86#, 16#0b#, 16#3a#, 16#02#, 16#10#, 16#3d#, 16#32#, 16#02#, 16#10#, 16#ca#, 16#bb#, 16#01#, 16#cd#, 16#a4#, 16#06#, 16#18#, 16#e8#, 16#0e#, 16#06#, 16#cd#, 16#5f#, 16#01#, 16#3b#, 16#b1#, 16#02#, 16#cd#, 16#e5#, 16#07#, 16#c3#, 16#61#, 16#02#, 16#cd#, 16#5f#, 16#01#, 16#0d#, 16#bd#, 16#02#, 16#cd#, 16#e5#, 16#07#, 16#c3#, 16#52#, 16#02#, 16#cd#, 16#5f#, 16#01#, 16#23#, 16#c9#, 16#02#, 16#cd#, 16#77#, 16#04#, 16#4d#, 16#18#, 16#05#, 16#cd#, 16#d0#, 16#06#, 16#18#, 16#11#, 16#cd#, 16#5f#, 16#01#, 16#2c#, 16#d9#, 16#02#, 16#cd#, 16#1b#, 16#06#, 16#18#, 16#e4#, 16#cd#, 16#e5#, 16#07#, 16#cd#, 16#25#, 16#01#, 16#cd#, 16#77#, 16#04#, 16#c5#, 16#cd#, 16#00#, 16#07#, 16#c1#, 16#18#, 16#e5#, 16#cd#, 16#87#, 16#07#, 16#cd#, 16#77#, 16#04#, 16#d5#, 16#cd#, 16#9c#, 16#06#, 16#c2#, 16#9e#, 16#01#, 16#2a#, 16#0b#, 16#10#, 16#e5#, 16#2a#, 16#0d#, 16#10#, 16#e5#, 16#21#, 16#00#, 16#00#, 16#22#, 16#13#, 16#10#, 16#39#, 16#22#, 16#0d#, 16#10#, 16#c3#, 16#5b#, 16#02#, 16#cd#, 16#30#, 16#06#, 16#2a#, 16#0d#, 16#10#, 16#7c#, 16#b5#, 16#ca#, 16#36#, 16#06#, 16#f9#, 16#e1#, 16#22#, 16#0d#, 16#10#, 16#e1#, 16#22#, 16#0b#, 16#10#, 16#d1#, 16#cd#, 16#6c#, 16#07#, 16#cd#, 16#25#, 16#01#, 16#cd#, 16#87#, 16#07#, 16#cd#, 16#01#, 16#06#, 16#2b#, 16#22#, 16#13#, 16#10#, 16#21#, 16#04#, 16#09#, 16#c3#, 16#37#, 16#09#, 16#cd#, 16#77#, 16#04#, 16#22#, 16#17#, 16#10#, 16#21#, 16#0c#, 16#09#, 16#c3#, 16#37#, 16#09#, 16#cd#, 16#77#, 16#04#, 16#18#, 16#03#, 16#21#, 16#01#, 16#00#, 16#22#, 16#15#, 16#10#, 16#2a#, 16#0b#, 16#10#, 16#22#, 16#19#, 16#10#, 16#eb#, 16#22#, 16#1b#, 16#10#, 16#01#, 16#0a#, 16#00#, 16#2a#, 16#13#, 16#10#, 16#eb#, 16#60#, 16#68#, 16#39#, 16#3e#, 16#09#, 16#7e#, 16#23#, 16#b6#, 16#28#, 16#18#, 16#7e#, 16#2b#, 16#ba#, 16#20#, 16#f5#, 16#7e#, 16#bb#, 16#20#, 16#f1#, 16#eb#, 16#21#, 16#00#, 16#00#, 16#39#, 16#44#, 16#4d#, 16#21#, 16#0a#, 16#00#, 16#19#, 16#cd#, 16#5f#, 16#07#, 16#f9#, 16#2a#, 16#1b#, 16#10#, 16#eb#, 16#cd#, 16#25#, 16#01#, 16#cd#, 16#2c#, 16#01#, 16#da#, 16#36#, 16#06#, 16#22#, 16#0f#, 16#10#, 16#d5#, 16#eb#, 16#2a#, 16#13#, 16#10#, 16#7c#, 16#b5#, 16#ca#, 16#37#, 16#06#, 16#cd#, 16#18#, 16#01#, 16#28#, 16#09#, 16#d1#, 16#cd#, 16#6c#, 16#07#, 16#2a#, 16#0f#, 16#10#, 16#18#, 16#e8#, 16#5e#, 16#23#, 16#56#, 16#2a#, 16#15#, 16#10#, 16#e5#, 16#7c#, 16#aa#, 16#7a#, 16#19#, 16#fa#, 16#bc#, 16#03#, 16#ac#, 16#fa#, 16#df#, 16#03#, 16#eb#, 16#2a#, 16#13#, 16#10#, 16#73#, 16#23#, 16#72#, 16#2a#, 16#17#, 16#10#, 16#f1#, 16#b7#, 16#f2#, 16#cc#, 16#03#, 16#eb#, 16#cd#, 16#f7#, 16#05#, 16#d1#, 16#38#, 16#0f#, 16#2a#, 16#19#, 16#10#, 16#22#, 16#0b#, 16#10#, 16#2a#, 16#1b#, 16#10#, 16#eb#, 16#cd#, 16#25#, 16#01#, 16#e1#, 16#d1#, 16#cd#, 16#6c#, 16#07#, 16#cd#, 16#25#, 16#01#, 16#21#, 16#00#, 16#00#, 16#18#, 16#03#, 16#cd#, 16#77#, 16#04#, 16#7c#, 16#b5#, 16#c2#, 16#61#, 16#02#, 16#cd#, 16#bb#, 16#06#, 16#d2#, 16#5b#, 16#02#, 16#c3#, 16#bb#, 16#01#, 16#2a#, 16#11#, 16#10#, 16#f9#, 16#e1#, 16#22#, 16#0b#, 16#10#, 16#d1#, 16#d1#, 16#d5#, 16#cd#, 16#d0#, 16#06#, 16#18#, 16#08#, 16#cd#, 16#2c#, 16#01#, 16#da#, 16#57#, 16#04#, 16#18#, 16#12#, 16#d5#, 16#cd#, 16#2c#, 16#01#, 16#da#, 16#36#, 16#06#, 16#1a#, 16#4f#, 16#97#, 16#12#, 16#d1#, 16#cd#, 16#c3#, 16#06#, 16#79#, 16#1b#, 16#12#, 16#d5#, 16#eb#, 16#2a#, 16#0b#, 16#10#, 16#e5#, 16#21#, 16#07#, 16#04#, 16#22#, 16#0b#, 16#10#, 16#21#, 16#00#, 16#00#, 16#39#, 16#22#, 16#11#, 16#10#, 16#d5#, 16#cd#, 16#12#, 16#01#, 16#3e#, 16#3a#, 16#cd#, 16#6c#, 16#06#, 16#cd#, 16#15#, 16#01#, 16#ed#, 16#5b#, 16#4e#, 16#11#, 16#cd#, 16#77#, 16#04#, 16#d1#, 16#eb#, 16#73#, 16#23#, 16#72#, 16#e1#, 16#22#, 16#0b#, 16#10#, 16#d1#, 16#f1#, 16#cd#, 16#5f#, 16#01#, 16#2c#, 16#5f#, 16#04#, 16#c3#, 16#07#, 16#04#, 16#cd#, 16#25#, 16#01#, 16#1a#, 16#fe#, 16#0d#, 16#28#, 16#0b#, 16#cd#, 16#01#, 16#06#, 16#cd#, 16#5f#, 16#01#, 16#2c#, 16#72#, 16#04#, 16#18#, 16#f5#, 16#cd#, 16#25#, 16#01#, 16#cd#, 16#bf#, 16#04#, 16#e5#, 16#21#, 16#16#, 16#09#, 16#c3#, 16#37#, 16#09#, 16#cd#, 16#aa#, 16#04#, 16#d8#, 16#6f#, 16#c9#, 16#cd#, 16#aa#, 16#04#, 16#c8#, 16#6f#, 16#c9#, 16#cd#, 16#aa#, 16#04#, 16#c8#, 16#d8#, 16#6f#, 16#c9#, 16#cd#, 16#aa#, 16#04#, 16#6f#, 16#c8#, 16#d8#, 16#6c#, 16#c9#, 16#cd#, 16#aa#, 16#04#, 16#c0#, 16#6f#, 16#c9#, 16#cd#, 16#aa#, 16#04#, 16#d0#, 16#6f#, 16#c9#, 16#e1#, 16#c9#, 16#79#, 16#e1#, 16#c1#, 16#e5#, 16#c5#, 16#4f#, 16#cd#, 16#bf#, 16#04#, 16#eb#, 16#e3#, 16#cd#, 16#f7#, 16#05#, 16#d1#, 16#21#, 16#00#, 16#00#, 16#3e#, 16#01#, 16#c9#, 16#cd#, 16#5f#, 16#01#, 16#2d#, 16#c8#, 16#04#, 16#21#, 16#00#, 16#00#, 16#18#, 16#2a#, 16#cd#, 16#5f#, 16#01#, 16#2b#, 16#ce#, 16#04#, 16#cd#, 16#fd#, 16#04#, 16#cd#, 16#5f#, 16#01#, 16#2b#, 16#ec#, 16#04#, 16#e5#, 16#cd#, 16#fd#, 16#04#, 16#eb#, 16#e3#, 16#7c#, 16#aa#, 16#7a#, 16#19#, 16#d1#, 16#fa#, 16#d3#, 16#04#, 16#ac#, 16#f2#, 16#d3#, 16#04#, 16#c3#, 16#9d#, 16#01#, 16#cd#, 16#5f#, 16#01#, 16#2d#, 16#82#, 16#05#, 16#e5#, 16#cd#, 16#fd#, 16#04#, 16#cd#, 16#e2#, 16#05#, 16#18#, 16#e0#, 16#cd#, 16#5f#, 16#05#, 16#cd#, 16#5f#, 16#01#, 16#2a#, 16#2d#, 16#05#, 16#e5#, 16#cd#, 16#5f#, 16#05#, 16#06#, 16#00#, 16#cd#, 16#df#, 16#05#, 16#e3#, 16#cd#, 16#df#, 16#05#, 16#eb#, 16#e3#, 16#7c#, 16#b7#, 16#28#, 16#06#, 16#7a#, 16#b2#, 16#eb#, 16#c2#, 16#9e#, 16#01#, 16#7d#, 16#21#, 16#00#, 16#00#, 16#b7#, 16#28#, 16#2b#, 16#19#, 16#da#, 16#9e#, 16#01#, 16#3d#, 16#20#, 16#f9#, 16#18#, 16#22#, 16#cd#, 16#5f#, 16#01#, 16#2f#, 16#82#, 16#05#, 16#e5#, 16#cd#, 16#5f#, 16#05#, 16#06#, 16#00#, 16#cd#, 16#df#, 16#05#, 16#e3#, 16#cd#, 16#df#, 16#05#, 16#eb#, 16#e3#, 16#eb#, 16#7a#, 16#b3#, 16#ca#, 16#9e#, 16#01#, 16#c5#, 16#cd#, 16#c3#, 16#05#, 16#60#, 16#69#, 16#c1#, 16#d1#, 16#7c#, 16#b7#, 16#fa#, 16#9d#, 16#01#, 16#78#, 16#b7#, 16#fc#, 16#e2#, 16#05#, 16#c3#, 16#00#, 16#05#, 16#21#, 16#c3#, 16#08#, 16#c3#, 16#37#, 16#09#, 16#cd#, 16#2c#, 16#01#, 16#38#, 16#05#, 16#7e#, 16#23#, 16#66#, 16#6f#, 16#c9#, 16#cd#, 16#74#, 16#01#, 16#78#, 16#b7#, 16#c0#, 16#cd#, 16#5f#, 16#01#, 16#28#, 16#83#, 16#05#, 16#cd#, 16#77#, 16#04#, 16#cd#, 16#5f#, 16#01#, 16#29#, 16#83#, 16#05#, 16#c9#, 16#c3#, 16#36#, 16#06#, 16#21#, 16#04#, 16#10#, 16#ed#, 16#5f#, 16#ed#, 16#6f#, 16#23#, 16#ed#, 16#6f#, 16#cd#, 16#75#, 16#05#, 16#7c#, 16#b7#, 16#fa#, 16#9d#, 16#01#, 16#b5#, 16#ca#, 16#9d#, 16#01#, 16#d5#, 16#c5#, 16#eb#, 16#2a#, 16#04#, 16#10#, 16#ed#, 16#52#, 16#30#, 16#fc#, 16#19#, 16#23#, 16#c1#, 16#d1#, 16#c9#, 16#cd#, 16#75#, 16#05#, 16#1b#, 16#cd#, 16#df#, 16#05#, 16#13#, 16#c9#, 16#2a#, 16#1f#, 16#10#, 16#d5#, 16#eb#, 16#2a#, 16#4c#, 16#11#, 16#cd#, 16#d8#, 16#05#, 16#d1#, 16#c9#, 16#e5#, 16#6c#, 16#26#, 16#00#, 16#cd#, 16#ce#, 16#05#, 16#41#, 16#7d#, 16#e1#, 16#67#, 16#0e#, 16#ff#, 16#0c#, 16#cd#, 16#d8#, 16#05#, 16#30#, 16#fa#, 16#19#, 16#c9#, 16#7d#, 16#93#, 16#6f#, 16#7c#, 16#9a#, 16#67#, 16#c9#, 16#7c#, 16#b7#, 16#f0#, 16#7c#, 16#b5#, 16#c8#, 16#7c#, 16#f5#, 16#2f#, 16#67#, 16#7d#, 16#2f#, 16#6f#, 16#23#, 16#f1#, 16#ac#, 16#f2#, 16#9d#, 16#01#, 16#78#, 16#ee#, 16#80#, 16#47#, 16#c9#, 16#7c#, 16#aa#, 16#f2#, 16#fd#, 16#05#, 16#eb#, 16#cd#, 16#18#, 16#01#, 16#c9#, 16#cd#, 16#2c#, 16#01#, 16#da#, 16#36#, 16#06#, 16#e5#, 16#cd#, 16#5f#, 16#01#, 16#3d#, 16#16#, 16#06#, 16#cd#, 16#77#, 16#04#, 16#44#, 16#4d#, 16#e1#, 16#71#, 16#23#, 16#70#, 16#c9#, 16#c3#, 16#36#, 16#06#, 16#cd#, 16#5f#, 16#01#, 16#3b#, 16#23#, 16#06#, 16#f1#, 16#c3#, 16#61#, 16#02#, 16#cd#, 16#5f#, 16#01#, 16#0d#, 16#2d#, 16#06#, 16#f1#, 16#c3#, 16#52#, 16#02#, 16#c9#, 16#cd#, 16#1e#, 16#01#, 16#fe#, 16#0d#, 16#c8#, 16#d5#, 16#11#, 16#af#, 16#01#, 16#97#, 16#cd#, 16#c3#, 16#06#, 16#d1#, 16#1a#, 16#f5#, 16#97#, 16#12#, 16#2a#, 16#0b#, 16#10#, 16#e5#, 16#7e#, 16#23#, 16#b6#, 16#d1#, 16#ca#, 16#bb#, 16#01#, 16#7e#, 16#b7#, 16#fa#, 16#fd#, 16#03#, 16#cd#, 16#40#, 16#07#, 16#1b#, 16#f1#, 16#12#, 16#3e#, 16#3f#, 16#cd#, 16#e7#, 16#07#, 16#97#, 16#cd#, 16#c3#, 16#06#, 16#c3#, 16#bb#, 16#01#, 16#d5#, 16#11#, 16#b5#, 16#01#, 16#c3#, 16#3a#, 16#06#, 16#cd#, 16#e7#, 16#07#, 16#ed#, 16#5b#, 16#4e#, 16#11#, 16#cd#, 16#09#, 16#01#, 16#fe#, 16#08#, 16#28#, 16#17#, 16#fe#, 16#09#, 16#28#, 16#06#, 16#fe#, 16#03#, 16#ca#, 16#bb#, 16#01#, 16#12#, 16#13#, 16#cd#, 16#e7#, 16#07#, 16#fe#, 16#0d#, 16#c8#, 16#7b#, 16#cd#, 16#61#, 16#09#, 16#20#, 16#e2#, 16#7b#, 16#cd#, 16#68#, 16#09#, 16#28#, 16#dc#, 16#1b#, 16#3e#, 16#08#, 16#18#, 16#e9#, 16#7c#, 16#b7#, 16#fa#, 16#9d#, 16#01#, 16#11#, 16#52#, 16#11#, 16#e5#, 16#2a#, 16#1f#, 16#10#, 16#2b#, 16#cd#, 16#18#, 16#01#, 16#e1#, 16#d8#, 16#1a#, 16#95#, 16#47#, 16#13#, 16#1a#, 16#9c#, 16#38#, 16#04#, 16#1b#, 16#b0#, 16#c9#, 16#13#, 16#13#, 16#1a#, 16#fe#, 16#0d#, 16#20#, 16#fa#, 16#13#, 16#18#, 16#e1#, 16#47#, 16#1a#, 16#13#, 16#b8#, 16#c8#, 16#cd#, 16#e7#, 16#07#, 16#fe#, 16#0d#, 16#20#, 16#f5#, 16#c9#, 16#cd#, 16#5f#, 16#01#, 16#22#, 16#e2#, 16#06#, 16#3e#, 16#22#, 16#cd#, 16#c3#, 16#06#, 16#fe#, 16#0d#, 16#e1#, 16#ca#, 16#52#, 16#02#, 16#23#, 16#23#, 16#e9#, 16#cd#, 16#5f#, 16#01#, 16#27#, 16#ec#, 16#06#, 16#3e#, 16#27#, 16#18#, 16#ea#, 16#cd#, 16#5f#, 16#01#, 16#5f#, 16#fd#, 16#06#, 16#3e#, 16#0d#, 16#cd#, 16#e7#, 16#07#, 16#cd#, 16#e7#, 16#07#, 16#e1#, 16#18#, 16#e2#, 16#c9#, 16#06#, 16#00#, 16#cd#, 16#df#, 16#05#, 16#f2#, 16#0b#, 16#07#, 16#06#, 16#2d#, 16#0d#, 16#d5#, 16#11#, 16#0a#, 16#00#, 16#d5#, 16#0d#, 16#c5#, 16#cd#, 16#c3#, 16#05#, 16#78#, 16#b1#, 16#28#, 16#07#, 16#e3#, 16#2d#, 16#e5#, 16#60#, 16#69#, 16#18#, 16#f2#, 16#c1#, 16#0d#, 16#79#, 16#b7#, 16#fa#, 16#2e#, 16#07#, 16#3e#, 16#20#, 16#cd#, 16#e7#, 16#07#, 16#18#, 16#f3#, 16#78#, 16#b7#, 16#c4#, 16#e7#, 16#07#, 16#5d#, 16#7b#, 16#fe#, 16#0a#, 16#d1#, 16#c8#, 16#c6#, 16#30#, 16#cd#, 16#e7#, 16#07#, 16#18#, 16#f4#, 16#1a#, 16#6f#, 16#13#, 16#1a#, 16#67#, 16#13#, 16#0e#, 16#04#, 16#cd#, 16#00#, 16#07#, 16#3e#, 16#20#, 16#cd#, 16#e7#, 16#07#, 16#97#, 16#cd#, 16#c3#, 16#06#, 16#c9#, 16#cd#, 16#18#, 16#01#, 16#c8#, 16#1a#, 16#02#, 16#13#, 16#03#, 16#18#, 16#f6#, 16#78#, 16#92#, 16#20#, 16#03#, 16#79#, 16#93#, 16#c8#, 16#1b#, 16#2b#, 16#1a#, 16#77#, 16#18#, 16#f3#, 16#c1#, 16#e1#, 16#22#, 16#13#, 16#10#, 16#7c#, 16#b5#, 16#28#, 16#10#, 16#e1#, 16#22#, 16#15#, 16#10#, 16#e1#, 16#22#, 16#17#, 16#10#, 16#e1#, 16#22#, 16#19#, 16#10#, 16#e1#, 16#22#, 16#1b#, 16#10#, 16#c5#, 16#c9#, 16#21#, 16#49#, 16#10#, 16#cd#, 16#e2#, 16#05#, 16#c1#, 16#39#, 16#d2#, 16#65#, 16#06#, 16#2a#, 16#13#, 16#10#, 16#7c#, 16#b5#, 16#28#, 16#13#, 16#2a#, 16#1b#, 16#10#, 16#e5#, 16#2a#, 16#19#, 16#10#, 16#e5#, 16#2a#, 16#17#, 16#10#, 16#e5#, 16#2a#, 16#15#, 16#10#, 16#e5#, 16#2a#, 16#13#, 16#10#, 16#e5#, 16#c5#, 16#c9#, 16#31#, 16#13#, 16#11#, 16#3e#, 16#0c#, 16#cd#, 16#e7#, 16#07#, 16#cd#, 16#e5#, 16#07#, 16#cd#, 16#e5#, 16#07#, 16#97#, 16#11#, 16#ef#, 16#07#, 16#cd#, 16#c3#, 16#06#, 16#21#, 16#af#, 16#07#, 16#22#, 16#1d#, 16#10#, 16#21#, 16#52#, 16#11#, 16#22#, 16#1f#, 16#10#, 16#21#, 16#94#, 16#30#, 16#22#, 16#4c#, 16#11#, 16#21#, 16#96#, 16#30#, 16#22#, 16#4e#, 16#11#, 16#21#, 16#d6#, 16#30#, 16#22#, 16#50#, 16#11#, 16#c3#, 16#bb#, 16#01#, 16#3e#, 16#0d#, 16#c5#, 16#f5#, 16#cd#, 16#06#, 16#01#, 16#f1#, 16#c1#, 16#c9#, 16#72#, 16#6f#, 16#62#, 16#6f#, 16#74#, 16#72#, 16#6f#, 16#6e#, 16#20#, 16#5a#, 16#31#, 16#30#, 16#31#, 16#33#, 16#20#, 16#42#, 16#41#, 16#53#, 16#49#, 16#43#, 16#20#, 16#33#, 16#2e#, 16#30#, 16#31#, 16#0d#, 16#4c#, 16#49#, 16#53#, 16#54#, 16#00#, 16#7b#, 16#02#, 16#52#, 16#55#, 16#4e#, 16#00#, 16#4c#, 16#02#, 16#4e#, 16#45#, 16#57#, 16#00#, 16#3d#, 16#02#, 16#42#, 16#59#, 16#45#, 16#00#, 16#a0#, 16#09#, 16#45#, 16#4e#, 16#44#, 16#00#, 16#6f#, 16#09#, 16#43#, 16#53#, 16#41#, 16#56#, 16#45#, 16#00#, 16#a4#, 16#09#, 16#43#, 16#4c#, 16#4f#, 16#41#, 16#44#, 16#00#, 16#e7#, 16#09#, 16#4e#, 16#45#, 16#58#, 16#54#, 16#00#, 16#89#, 16#03#, 16#4c#, 16#45#, 16#54#, 16#00#, 16#69#, 16#04#, 16#49#, 16#46#, 16#00#, 16#ec#, 16#03#, 16#47#, 16#4f#, 16#54#, 16#4f#, 16#00#, 16#6a#, 16#02#, 16#47#, 16#4f#, 16#53#, 16#55#, 16#42#, 16#00#, 16#eb#, 16#02#, 16#52#, 16#45#, 16#54#, 16#55#, 16#52#, 16#4e#, 16#00#, 16#0d#, 16#03#, 16#52#, 16#45#, 16#4d#, 16#00#, 16#e7#, 16#03#, 16#46#, 16#4f#, 16#52#, 16#00#, 16#28#, 16#03#, 16#49#, 16#4e#, 16#50#, 16#55#, 16#54#, 16#00#, 16#07#, 16#04#, 16#50#, 16#52#, 16#49#, 16#4e#, 16#54#, 16#00#, 16#a5#, 16#02#, 16#53#, 16#54#, 16#4f#, 16#50#, 16#00#, 16#46#, 16#02#, 16#43#, 16#41#, 16#4c#, 16#4c#, 16#00#, 16#25#, 16#0a#, 16#4f#, 16#55#, 16#54#, 16#43#, 16#48#, 16#41#, 16#52#, 16#00#, 16#26#, 16#0b#, 16#4f#, 16#55#, 16#54#, 16#00#, 16#32#, 16#0a#, 16#4f#, 16#24#, 16#00#, 16#83#, 16#0a#, 16#49#, 16#24#, 16#00#, 16#90#, 16#0a#, 16#50#, 16#4f#, 16#4b#, 16#45#, 16#00#, 16#cb#, 16#0a#, 16#54#, 16#41#, 16#42#, 16#00#, 16#59#, 16#0a#, 16#42#, 16#59#, 16#54#, 16#45#, 16#00#, 16#e1#, 16#0a#, 16#57#, 16#4f#, 16#52#, 16#44#, 16#00#, 16#eb#, 16#0a#, 16#00#, 16#64#, 16#04#, 16#52#, 16#4e#, 16#44#, 16#00#, 16#88#, 16#05#, 16#41#, 16#42#, 16#53#, 16#00#, 16#ad#, 16#05#, 16#53#, 16#49#, 16#5a#, 16#45#, 16#00#, 16#b6#, 16#05#, 16#50#, 16#45#, 16#45#, 16#4b#, 16#00#, 16#c4#, 16#0a#, 16#49#, 16#4e#, 16#43#, 16#48#, 16#41#, 16#52#, 16#00#, 16#30#, 16#0b#, 16#48#, 16#45#, 16#58#, 16#00#, 16#3c#, 16#0b#, 16#49#, 16#4e#, 16#00#, 16#69#, 16#0a#, 16#27#, 16#00#, 16#0d#, 16#0b#, 16#54#, 16#4f#, 16#50#, 16#00#, 16#1c#, 16#0b#, 16#4c#, 16#45#, 16#4e#, 16#00#, 16#21#, 16#0b#, 16#00#, 16#65#, 16#05#, 16#54#, 16#4f#, 16#00#, 16#38#, 16#03#, 16#00#, 16#36#, 16#06#, 16#53#, 16#54#, 16#45#, 16#50#, 16#00#, 16#44#, 16#03#, 16#00#, 16#49#, 16#03#, 16#3e#, 16#3d#, 16#00#, 16#81#, 16#04#, 16#23#, 16#00#, 16#87#, 16#04#, 16#3e#, 16#00#, 16#8d#, 16#04#, 16#3d#, 16#00#, 16#9c#, 16#04#, 16#3c#, 16#3d#, 16#00#, 16#94#, 16#04#, 16#3c#, 16#00#, 16#a2#, 16#04#, 16#00#, 16#a8#, 16#04#, 16#21#, 16#08#, 16#08#, 16#cd#, 16#1e#, 16#01#, 16#d5#, 16#1a#, 16#13#, 16#fe#, 16#2e#, 16#28#, 16#13#, 16#23#, 16#be#, 16#28#, 16#f6#, 16#3e#, 16#00#, 16#1b#, 16#be#, 16#28#, 16#0f#, 16#23#, 16#be#, 16#20#, 16#fc#, 16#23#, 16#23#, 16#d1#, 16#18#, 16#e3#, 16#3e#, 16#00#, 16#23#, 16#be#, 16#20#, 16#fc#, 16#23#, 16#7e#, 16#23#, 16#66#, 16#6f#, 16#f1#, 16#e9#, 16#e5#, 16#2a#, 16#50#, 16#11#, 16#bd#, 16#e1#, 16#c9#, 16#e5#, 16#2a#, 16#4e#, 16#11#, 16#bd#, 16#e1#, 16#c9#, 16#cd#, 16#77#, 16#04#, 16#eb#, 16#21#, 16#94#, 16#30#, 16#eb#, 16#cd#, 16#18#, 16#01#, 16#da#, 16#66#, 16#06#, 16#7c#, 16#b7#, 16#fa#, 16#66#, 16#06#, 16#7e#, 16#2f#, 16#77#, 16#46#, 16#b8#, 16#c2#, 16#66#, 16#06#, 16#22#, 16#50#, 16#11#, 16#7d#, 16#d6#, 16#84#, 16#6f#, 16#7c#, 16#de#, 16#00#, 16#67#, 16#22#, 16#4e#, 16#11#, 16#2b#, 16#2b#, 16#22#, 16#4c#, 16#11#, 16#c3#, 16#bb#, 16#01#, 16#ff#, 16#c3#, 16#bb#, 16#01#, 16#31#, 16#b0#, 16#00#, 16#cd#, 16#1e#, 16#01#, 16#21#, 16#f0#, 16#00#, 16#e5#, 16#06#, 16#10#, 16#36#, 16#20#, 16#23#, 16#10#, 16#fb#, 16#e1#, 16#1a#, 16#fe#, 16#22#, 16#20#, 16#03#, 16#13#, 16#18#, 16#f8#, 16#fe#, 16#0d#, 16#28#, 16#09#, 16#77#, 16#23#, 16#7c#, 16#b7#, 16#20#, 16#03#, 16#13#, 16#18#, 16#eb#, 16#cd#, 16#0c#, 16#0a#, 16#21#, 16#00#, 16#10#, 16#22#, 16#e0#, 16#00#, 16#2a#, 16#1f#, 16#10#, 16#23#, 16#22#, 16#e2#, 16#00#, 16#cd#, 16#0c#, 16#01#, 16#cd#, 16#19#, 16#0a#, 16#cd#, 16#0c#, 16#01#, 16#c3#, 16#bb#, 16#01#, 16#31#, 16#b0#, 16#00#, 16#cd#, 16#0c#, 16#0a#, 16#cd#, 16#0f#, 16#01#, 16#21#, 16#f0#, 16#00#, 16#ed#, 16#5b#, 16#2b#, 16#00#, 16#01#, 16#10#, 16#00#, 16#ed#, 16#b0#, 16#ed#, 16#53#, 16#2b#, 16#00#, 16#cd#, 16#e5#, 16#07#, 16#cd#, 16#19#, 16#0a#, 16#cd#, 16#0f#, 16#01#, 16#c3#, 16#bb#, 16#01#, 16#21#, 16#e0#, 16#00#, 16#22#, 16#1b#, 16#00#, 16#21#, 16#ff#, 16#00#, 16#22#, 16#1d#, 16#00#, 16#c9#, 16#21#, 16#e0#, 16#00#, 16#11#, 16#1b#, 16#00#, 16#01#, 16#04#, 16#00#, 16#ed#, 16#b0#, 16#c9#, 16#cd#, 16#77#, 16#04#, 16#d5#, 16#01#, 16#2e#, 16#0a#, 16#c5#, 16#e9#, 16#d1#, 16#cd#, 16#25#, 16#01#, 16#cd#, 16#75#, 16#05#, 16#e5#, 16#cd#, 16#5f#, 16#01#, 16#3d#, 16#54#, 16#0a#, 16#cd#, 16#77#, 16#04#, 16#45#, 16#3e#, 16#d3#, 16#32#, 16#06#, 16#10#, 16#e1#, 16#7d#, 16#32#, 16#07#, 16#10#, 16#3e#, 16#c9#, 16#32#, 16#08#, 16#10#, 16#78#, 16#cd#, 16#06#, 16#10#, 16#cd#, 16#25#, 16#01#, 16#c3#, 16#36#, 16#06#, 16#cd#, 16#75#, 16#05#, 16#7c#, 16#b5#, 16#cc#, 16#25#, 16#01#, 16#2b#, 16#3e#, 16#20#, 16#cd#, 16#e7#, 16#07#, 16#18#, 16#f3#, 16#cd#, 16#75#, 16#05#, 16#e5#, 16#3e#, 16#db#, 16#32#, 16#06#, 16#10#, 16#e1#, 16#7d#, 16#32#, 16#07#, 16#10#, 16#3e#, 16#c9#, 16#32#, 16#08#, 16#10#, 16#cd#, 16#06#, 16#10#, 16#26#, 16#00#, 16#6f#, 16#c9#, 16#cd#, 16#77#, 16#04#, 16#d5#, 16#eb#, 16#af#, 16#cd#, 16#c3#, 16#06#, 16#d1#, 16#cd#, 16#25#, 16#01#, 16#cd#, 16#77#, 16#04#, 16#d5#, 16#eb#, 16#2a#, 16#1f#, 16#10#, 16#eb#, 16#cd#, 16#18#, 16#01#, 16#da#, 16#66#, 16#06#, 16#ed#, 16#5b#, 16#4e#, 16#11#, 16#cd#, 16#73#, 16#06#, 16#44#, 16#4d#, 16#eb#, 16#2b#, 16#ed#, 16#5b#, 16#4e#, 16#11#, 16#d5#, 16#cd#, 16#55#, 16#07#, 16#af#, 16#02#, 16#d1#, 16#23#, 16#cd#, 16#d8#, 16#05#, 16#eb#, 16#21#, 16#00#, 16#10#, 16#73#, 16#23#, 16#72#, 16#d1#, 16#cd#, 16#25#, 16#01#, 16#cd#, 16#75#, 16#05#, 16#6e#, 16#26#, 16#00#, 16#c9#, 16#cd#, 16#77#, 16#04#, 16#e5#, 16#cd#, 16#5f#, 16#01#, 16#2c#, 16#dc#, 16#0a#, 16#cd#, 16#77#, 16#04#, 16#7d#, 16#e1#, 16#77#, 16#cd#, 16#25#, 16#01#, 16#c3#, 16#36#, 16#06#, 16#cd#, 16#75#, 16#05#, 16#7d#, 16#cd#, 16#f9#, 16#0a#, 16#cd#, 16#25#, 16#01#, 16#cd#, 16#75#, 16#05#, 16#7c#, 16#cd#, 16#f9#, 16#0a#, 16#7d#, 16#cd#, 16#f9#, 16#0a#, 16#cd#, 16#25#, 16#01#, 16#f5#, 16#0f#, 16#0f#, 16#0f#, 16#0f#, 16#cd#, 16#02#, 16#0b#, 16#f1#, 16#e6#, 16#0f#, 16#c6#, 16#90#, 16#27#, 16#ce#, 16#40#, 16#27#, 16#c3#, 16#e7#, 16#07#, 16#1a#, 16#13#, 16#6f#, 16#26#, 16#00#, 16#cd#, 16#5f#, 16#01#, 16#27#, 16#17#, 16#0b#, 16#c9#, 16#c3#, 16#36#, 16#06#, 16#2a#, 16#1f#, 16#10#, 16#23#, 16#c9#, 16#2a#, 16#00#, 16#10#, 16#2b#, 16#c9#, 16#cd#, 16#77#, 16#04#, 16#7d#, 16#cd#, 16#e7#, 16#07#, 16#cd#, 16#25#, 16#01#, 16#cd#, 16#09#, 16#01#, 16#fe#, 16#03#, 16#ca#, 16#bb#, 16#01#, 16#26#, 16#00#, 16#6f#, 16#c9#, 16#c5#, 16#21#, 16#00#, 16#00#, 16#cd#, 16#5f#, 16#01#, 16#28#, 16#60#, 16#0b#, 16#1a#, 16#fe#, 16#0d#, 16#ca#, 16#36#, 16#06#, 16#cd#, 16#67#, 16#0b#, 16#29#, 16#29#, 16#29#, 16#29#, 16#06#, 16#00#, 16#4f#, 16#09#, 16#13#, 16#cd#, 16#5f#, 16#01#, 16#29#, 16#5e#, 16#0b#, 16#18#, 16#05#, 16#18#, 16#e4#, 16#c3#, 16#36#, 16#06#, 16#c1#, 16#c9#, 16#fe#, 16#30#, 16#fa#, 16#36#, 16#06#, 16#fe#, 16#39#, 16#fa#, 16#7e#, 16#0b#, 16#ca#, 16#7e#, 16#0b#, 16#fe#, 16#41#, 16#fa#, 16#36#, 16#06#, 16#fe#, 16#47#, 16#f2#, 16#36#, 16#06#, 16#d6#, 16#30#, 16#fe#, 16#0a#, 16#f8#, 16#d6#, 16#07#, 16#c9#, 16#cd#, 16#93#, 16#0b#, 16#fe#, 16#03#, 16#28#, 16#0f#, 16#fe#, 16#05#, 16#c0#, 16#c3#, 16#bb#, 16#01#, 16#3e#, 16#03#, 16#d3#, 16#08#, 16#db#, 16#02#, 16#e6#, 16#0f#, 16#c9#, 16#d5#, 16#11#, 16#ff#, 16#ff#, 16#1b#, 16#7a#, 16#b3#, 16#20#, 16#fb#, 16#d1#, 16#c9#, 16#52#, 16#49#, 16#42#, 16#55#, 16#54#, 16#45#, 16#20#, 16#22#, 16#53#, 16#ff#, 16#ff#, 16#ff#, 16#ff#, 16#ff#, 16#ff#, 16#ff#, 16#ff#, 16#ff#, 16#ff#, 16#ff#, 16#ff#, 16#ff#, 16#ff#, 16#ff#, 16#ff#, others => 0); signal RAM_ARRAY : T_RAM := C_RAM_ARRAY_INIT; signal selected : boolean; begin addr_integer <= to_integer(unsigned(addr_i(13 downto 0))); selected <= cs_ni = '0'; process(clk) begin if falling_edge(clk) then if selected then data_o <= std_logic_vector(to_unsigned(ram_array(addr_integer), 8)); if we_ni = '0' then ram_array(addr_integer) <= to_integer(unsigned(data_i)); end if; end if; end if; end process; end architecture behave;