Hallo,
Ich möchte gerne Bits, die in einem std_logic_vector(31 downto 0)
gespeichert sind, in eine Zahl wandeln. Diese möchte ich dann in einem
Signal abspeichern. Hier mal kurz der bereits von mir verwendete Code
(gekürzte)
1 | LIBRARY ieee;
|
2 | USE ieee.std_logic_1164.all;
|
3 | use ieee.numeric_std.all;
|
4 |
|
5 |
|
6 | PORT
|
7 | ( ...
|
8 | data : in std_logic_vector(31 downto 0);
|
9 | ..
|
10 | )
|
11 | signal intervall : integer range 0 to 50000;
|
12 | ....
|
13 | intervall <= to_integer(unsigned(data));
|
Ich bin mir sicher, dass er die Zeile mit der umwandlung erreicht, es
wird dann nur nichts in die Variable geschrieben. Kann mir jemand sagen
woran das liegt? Verwende ich die falschen Bibliotheken? Kann es sein,
dass der Vector mit 32 Bit zu groß ist und die variable intervall auch
mindestens 2^32 groß sein muss?
Bin für jede Hilfe dankbar!