Forum: FPGA, VHDL & Co. Spartan3 (XC3S400), Multiplizierer zusammen schalten


von Max (Gast)


Lesenswert?

Hallo,
hab mal ne Frage, der FPGA von Spartan3 hat zwar Multiplizierer jedoch 
kann man nur 18 bit große werte zusammen multiplizieren, ich möcht gern 
2 mal 24 bit werte miteinander multiplizieren, gibt es da eine 
Möglichkeit, dass man Multiplizierer zusammenschalten kann, um diese 
größe abzudecken.Danke.

von D. I. (Gast)


Lesenswert?

Macht die Synthese automatisch sofern genug Ressourcen da sind

von Max (Gast)


Lesenswert?

Hallo,
habe ich probiert, leider kommen dort diese Fehler was haben die nun zu 
bedeuten.Danke.
1
ERROR:Place:665 - The design has 8 block-RAM components of which 8 block-RAM
2
   components require the adjacent multiplier site  to remain empty. This is
3
   because certain input pins of adjacent block-RAM and multiplier sites share
4
   routing ressources. In addition, the design has 16 multiplier components.
5
   Therefore, the design would require a total of 24 multiplier sites on the
6
   device. The current device has only 16 multiplier sites.
7
ERROR:Pack:1654 - The timing-driven placement phase encountered an error.

von Christian R. (supachris)


Lesenswert?

Ja, dann reichen in deinem Fall die Ressourcen nicht aus, um die HW-MUL 
zu benutzen. Du kannst das in den Synthese-Optionen abschalten. Dann 
baut der Multiplizierer auch aus LUTs zusammen.

von Max (Gast)


Lesenswert?

danke für deine Antwort,

sollte ich nach den Änderungen das Project file säubern und dann wieder 
synthetisieren oder ist das egal.

ich habe die einstellungen hdl options-> mult style: einmal mit LUT und 
dann Pipe-LUT probiert kommt leider immer noch derselbe Fehler.
danke

von Max (Gast)


Lesenswert?

hab alles ausproiert, klappt net, versteh net, warum, gibt es noch 
andere möglichkeiten.danke

von Max (Gast)


Lesenswert?

also, synthetisieren tut er ohne Fehler jedoch wenn ich implement design 
durchführe gibt er dann den obigen Fehler.

von Christian R. (supachris)


Lesenswert?

Komisch, bei mir klappt das. Hab eben mal ein Mini-Design gemacht, in 
dem ich getaktet eine 24x24 multiplikation mache (signed). Mit den 
Standard-Einstellungen werden 4 MUL18X18 inferiert, mit der XST 
Einstellung LUT für den mul_style kein MUL18X18 dafür massenhaft Slices. 
ISE 13.3

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.