Forum: FPGA, VHDL & Co. Anfängerfrage


von Tim S. (169)


Lesenswert?

Hallo Leute,

ich werde demnächst mir ein FPGA Board kaufen und mit VHDL anfangen.
Ich habe mir einige Boards angeschaut und gefragt wie woll die 
I2C-Kommunikation in VHDL erfolgt. Einige Boards besaßen einige Sensoren 
mit I2C Schnittstelle. Wie werden diese angesprochen/ausgelesen in VHDL? 
Oder erfolgt alles in C mit einem Nios-Prozessor?
Fragen über Fragen...


Gruß

von user (Gast)


Lesenswert?

es geht beides

von MK (Gast)


Lesenswert?

Mit einem FPGA baust du dir die chips.
Also ob du jetzt einen Prozessor verwendest um den Sensor auszuwerten, 
oder eine konkrete Logik / kontroller für i²c beschreibst sollte 
funktionieren.

Gruß,
Matthias

von chrigu (Gast)


Lesenswert?

Hallo Tim,

Ich verwende für die I2C Kommunikation diesen IP Core: 
http://www.alterawiki.com/wiki/OpenCores_I2c
Er lässt sich relativ einfach über QSYS einbinden. Sinnvollerweise 
sprichst du den Core dann über NIOS an... aber es geht auch über deine 
eigene Logik, wenn du magst.

Gruss
Chrigu

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Lattice hat FPGAs mit I2C Hardware auf dem Chip:
 http://www.latticesemi.com/products/cpld/machxo2/index.cfm

von Uwe (Gast)


Lesenswert?

> Wie werden diese angesprochen/ausgelesen in VHDL?
Gar nicht ! Du baust mit VHDL ein Stück Harware die das macht.
Welche Harware du baust und wie bleibt dir Überlassen.
Normalerweise macht man es mit einer Statemachine oder deutsch 
Zustandsautomaten.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.