Forum: FPGA, VHDL & Co. Vektorgröße verändern


von knut (Gast)


Lesenswert?

Hallo,

wie kann man ein STD_LOGIC_VECTOR, dessen Vektorlänge beispielsweise 12 
beträgt, auf 16 vergrößern (mit Nullen an den höherwertigen Bits 
auffüllen)

Also ich habe beispielsweise folgenden Aufbau:
1
entity test is
2
port (test16 : out std_logic_vector (15 downto 0) 
3
);
4
end test
5
6
architecture behavioral of test is
7
8
signal t12 : std_logic_vector (11 downto 0) := "000000000011";
9
signal t16 : std_logic_vector (15 downto 0);
10
11
begin
12
13
t16 <= "0000" & t12; 
14
test16 <= t16;
15
16
end behavioral;

Ist das so synthesetechnisch realisierbar?

von Strubi (Gast)


Lesenswert?

Moin knut,

ist so korrekt. Alternativ siehe auch resize(), wenn's um 
Vorzeichenerweiterung (sign extension) geht.

von knut (Gast)


Lesenswert?

Danke :)

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.