Forum: FPGA, VHDL & Co. Speicher nutzen im DE0-Nano bzw. Cyclonve IV E


von Johannes Scherle (Gast)


Lesenswert?

Hallo alle,

ich habe eine Frage bzgl. dem  DE0-Nano bzw. Cyclonve IV E. Dieses FPGA 
hat ja einen integrierten Speicher. In diesem Speicher möchte ich gerne 
eine Look Up Table unterbringen. Wie schaffe ich es jetzt denn, dass 
Quartus mir das entsprechend synthetisiert und in diesen Speicher rein 
schreibt? Wenn ich ein Array benutze wird das als logik realisiert.

Viele Grüße

Johannes

von Uwe (Gast)


Lesenswert?

> Wenn ich ein Array benutze wird das als logik realisiert.
Und Logik wird in einem FPGA als Lookup table realisiert ;-)
Als owas ist der unterschied zwischen einen Array und einem Speicher bzw 
zwischen einem Speicher und einer lookup table ?

von Johannes Scherle (Gast)


Lesenswert?

Naja, eine Look-Up-Table mit 2^16 - 1 Einträgen als Array geschrieben 
verbraucht ca 40 % meiner Logikeinheiten und braucht eine Stunde zum 
kompilieren. Ich erhoffe mir, dass das schneller geht wenn ich's in den 
RAM schreibe.

von Marius W. (mw1987)


Lesenswert?


von Uwe (Gast)


Lesenswert?

Unter den Syntheseoptionen gibt es wie "implement in BRAM".
hmm, scheint es so heutzutage nicht meh zu geben, aber das könnte 
funktionieren :
"Assignements/Settings/Analysis&Synthesis/More Settings/Allow any ROM 
size for recognition"

von Uwe (Gast)


Lesenswert?

> Naja, eine Look-Up-Table mit 2^16 - 1 Einträgen
Oh dann ist natürlich die minimalgröße nicht entscheident !
Naja und die sonstigen Settings scheinen eh im Normalfall richtig 
gesetzt zu sein.
Dann kann eigentlich nur noch eins Zutreffen : deine LUT ist zu exotisch 
beschrieben, sow daß der Syntesizer das nicht richtig erkennen kann bzw. 
nicht mit auf ein BRAM abbilden kann. Dann ist der Tip vom Vormann schon 
richtig bzw. du kannst dir auch mal ein Template von nem BRAM angucken 
bzw. das entsprechende pdf von Altera. gugel z.B. mal "implement BRAM in 
Cyclone IV" oder so ...

von Uwe (Gast)


Lesenswert?


von Johannes Scherle (Gast)


Lesenswert?

Hallo alle miteinander,

hier kurz die abschließende Lösung:
Habe alle Möglichkeiten ausprobiert die von den Vorpostern genannt 
wurden, was leider nichts geändert hat.
Die Lösung war dann ein Language Template von Quartus zu benutzen in dem 
vorgefertige ROM Strukturen zu finden waren. Diese gibt es, wenn man ein 
hdl file schreibt, unter dem Button mit der Thorarolle (neben der 
Büroklammer) -> VHDL -> Full Designs -> RAMs and ROMs -> Single-Port ROM

Viele Grüße

Johannes

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Und zudem hat jeder FPGA Hersteller Synthese-Guidelines, in denen steht, 
wie etwas beschrieben werden muss, um zum gewünschten Ergebnis zu 
kommen...

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.