Forum: FPGA, VHDL & Co. VHDL-2008 Support Library in Modelsim simulieren


von Johannes Scherle (Gast)


Lesenswert?

Hallo alle,

ich habe folgendes Problem:
Ich benutze die VHDL-2008 Support Library von David Bishop um damit 
Festkommaarithmetik zu realisieren. In Quartus kompliliert der 
geschriebene Code auch problemlos. Wenn ich allerdings in Modelsim 
simulieren möchte, bekomme ich den Fehler:

# ** Error: (vcom-11) Could not find ieee_proposed.fixed_float_types.

Der Fehler hat vermutlich irgendwie damit zu tun, dass ich nie eine 
ieee_proposed library kompliliert habe, denn in Quartus kann man einfach 
die hdl files zum Projekt hinzufügen und dann werden sie erkannt.

Hat jemand eine Idee, wie ich das in Modelsim zum Laufen bringe?

Viele Grüße

Johannes

von user (Gast)


Lesenswert?

probier mal so zu compilieren

vcom -2008 <file.vhd>

von Johannes Scherle (Gast)


Lesenswert?

Ah, hab's doch selbst raus gefunden. ;-)
In Modelsim auf file -> new library, neue lib erstellen, dann compile -> 
compile -> dateien und library auswählen, dann compile...

Gruß

Johannes

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.