Forum: FPGA, VHDL & Co. Verilog - Dumbvars und Arrays


von peter (Gast)


Lesenswert?

Hallo,
in einem Verilog-Module wurde von mir ein Array angelegt in das wie in 
einen Speicher geschrieben und gelesen werden soll. Nun würde ich mir 
gerne mittels gtkwave ansehen, ob die Daten dort rein geschrieben werden 
oder nicht.

Als Compiler verwende ich icarus und ich habe folgenden Text in den 
Inital-Block hinzu gefügt:

  $dumpfile("test.vcd");
         $dumpvars();

Leider werden in gtkwave nur die Ein und Ausgänge angezeigt, wie kann 
man sich anzeigen lassen was im Speicher passiert?
($dumpvars(0,Modulname.Speicher); führt zu einen Fehler. Speicher ist 
der name der reg Variablen)

von bko (Gast)


Lesenswert?

Zweidimensionales (also z.B.: reg [7:0] mem [255:0];) geht beim Icarus
nicht direkt, mit einem kleine Umweg gehts:
1
 integer idx;
2
3
initial
4
   begin
5
     $dumpfile("wave.vcd");
6
     $dumpvars(5);
7
for (idx = 0; idx < 2; idx = idx + 1) $dumpvars(3,core.ram1.mem[idx]);

Läuft bei mir mit icarus version 0.95.

Hab ich aus: (weiter unten: "Dumping array words")
http://iverilog.wikia.com/wiki/Verilog_Portability_Notes

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.