Forum: FPGA, VHDL & Co. VHDL Problem bei Strukturbeschreibung + Testbench


von Michael L. (alphonso)


Angehängte Dateien:

Lesenswert?

Hallo zusammen,
ich bin absoluter Neuling in Sachen VHDL und versuche mich langsam in 
die Sache einzuarbeiten. Dabei habe ich folgendes Problem:

Bei einer Strukturbeschreibung (s. schaltung.png) bekomme ich keine 
Testbench eingebunden. Der Code (s. code.png) ist soweit in Ordnung, 
zumindestens bekomme ich keine Fehler im Programm (Xilinx Project 
Navigator 14.7) angezeigt. Sobald ich eine Testbench einbinden will 
funktioniert das nicht richtig (s. tb_fail.png). Bei einem Beispiel, 
dass ich vorher ausprobiert habe (keine Strukturbeschreibung, simples 
AND-Gatter), hat es einwandfrei funktioniert (s. tb_norm.png).

Dabei gehe ich wie folgt vor: Rechtsklick auf das Projekt -> Select 
Source Type -> VHDL Test Bench -> File Name "and_gatter_tb" -> Add to 
Project -> Next -> Associate Source (Auswahl des Topmoduls "and_gatter") 
-> Next -> Finish

Nachdem ich das getan habe, kann ich auch meine Eingangsignale zum Test 
angeben und simulieren. Bei dem Beispiel mit der Strukturbeschreibung 
geht das leider nicht.

Ein Hinweis noch: bei den Beispielen handelt es sich um akademische 
Beispiele aus meinem Vorlesungsskript. Ein Controllertyp oder 
Hardwareboard gibt es (noch) nicht. Ich simuliere immer alles direkt auf 
dem PC und schaue mir die Signalverlaeufe an.

Ich hoffe ihr koennt mir helfen. Vielen Dank schonmal im Voraus!

Gruss

Alphonso

von Klaus F. (kfalser)


Lesenswert?

Wird daran liegen, dass Du in einer Datei 2 entities neg_modul und 
a_modul hast und ISE nicht erkennt, für welche entity es die Testbench 
erstellen soll.
Gib beide in getrennte Files, dann funktionierts vielleicht.
Regel -> 1 entity pro Datei

von Carlo (Gast)


Lesenswert?

Hast du in de TB die Komponente "modul" überhaupt gemapped?

von Michael L. (alphonso)


Lesenswert?

Hallo zusammen,
vielen Dank fuer eure Rueckmeldungen. Der Tipp von Klaus war 
erfolgreich! Mit dem Hinweis "1 entity pro Datei" hat es funktioniert 
und es erhoeht auch die Uebersichtlichkeit des Codes.

Vielen Dank an Klaus, aber auch an Carlo, selbst wenn ich jetzt diesem 
Hinweis explizit nicht nachgegangen bin.

Gruss

Alphonso

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.