Forum: FPGA, VHDL & Co. Variablenzuweisung VHDL


von Lang (Gast)


Lesenswert?

Hallo,

ich stehe grad aufm Schlauch. Ich möchte einer 32 Bit Variable vom Typ 
unsigned einen integer-Wert auf die linken 12 Bit zuweisen und die 
restlichen 20 Bit mit Nullen aufffüllen.

Also ungefähr so:
1
signal var1   : unsigned(31 downto 0) := (others => '0');
2
signal var2   : integer range 0 to 4095 := 0;
3
4
.
5
.
6
.
7
.
8
var1 <= var2 & x"00000"


Dass das nicht geht ist klar. Aber wie geht es?

Gruß

von experte (Gast)


Lesenswert?

"to_unsigned (0,32)" war das glaube ich

von Lang (Gast)


Lesenswert?

Ha, nice es geht. Hätte man ja auch selber draufkommen können :)

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.