Forum: FPGA, VHDL & Co. UART mit RS232 Standard


von AL (Gast)


Angehängte Dateien:

Lesenswert?

Hallo Leute,

ich wollte über RS232 16 Byte empfangen und senden. Senden funktioniert, 
dank euch, aber beim empfangen funktioniert es nicht.

Simuliert habe ich es mit Isim vom Xilinx. Danke im voraus.

von peter (Gast)


Lesenswert?

Mach das mal mit State:
1
library ieee; 
2
use ieee.std_logic_1164.all; 
3
use ieee.numeric_std.all; 
4
5
entity rs232_rx is
6
generic( 
7
  quarz_taktfrequenz : integer := 50000000;  
8
  baudrate           : integer := 19200
9
);  
10
    
11
port(
12
  clk     : in  std_logic;
13
  rxd     : in  std_logic;
14
  rx_en   : out std_logic;
15
  rx_data : out std_logic_vector (7 downto 0) 
16
);
17
end rs232_rx;
18
19
architecture behavioral of rs232_rx is
20
21
type rx_state_t is (idle, busy, ready);
22
signal rx_state : rx_state_t := idle;
23
24
signal rxd_sr   : std_logic_vector (3 downto 0) := "1111";         
25
signal rxsr     : std_logic_vector (7 downto 0) := "00000000";    
26
signal rxbitcnt : integer range 0 to 9 := 9;
27
signal rxcnt    : integer range 0 to (quarz_taktfrequenz/baudrate)-1;   
28
29
begin
30
31
process begin
32
wait until rising_edge(clk);   
33
  rxd_sr <= rxd_sr(rxd_sr'left-1 downto 0) & rxd;
34
  rx_en  <= '0';
35
36
  case rx_state is
37
  when idle => -- warten auf startbit
38
    if (rxd_sr(3 downto 2) = "10") then                 
39
      rxcnt    <= ((quarz_taktfrequenz/baudrate)-1)/2; 
40
      rxbitcnt <= 0;
41
      rx_state <= busy;
42
    end if;
43
    
44
  when busy =>
45
    if (rxbitcnt<9) then  
46
      if(rxcnt<(quarz_taktfrequenz/baudrate)-1) then 
47
        rxcnt    <= rxcnt+1;
48
      else
49
        rxcnt    <= 0; 
50
        rxbitcnt <= rxbitcnt+1;
51
        rxsr     <= rxd_sr(rxd_sr'left-1) & rxsr(rxsr'left downto 1); 
52
      end if;
53
    else
54
      rx_state <= ready;
55
    end if;
56
    
57
  when ready =>
58
    rx_data  <= rxsr;
59
    rx_state <= idle; 
60
    rx_en    <= '1';           
61
  end case;
62
end process;  
63
64
end behavioral;

von AL (Gast)


Lesenswert?

Vielen Dank.

Es funktioniert.

von Christian R. (supachris)


Lesenswert?

Wenn schon Kopieren, dann bitte mit Quellenangabe: 
http://www.lothar-miller.de/s9y/categories/42-RS232

von peter (Gast)


Lesenswert?

Immer diese Scheiß Quellenangeben, jeder hier weiß wo das zu finden 
ist..., zum kotzen....

Gruss

von Christian R. (supachris)


Lesenswert?

Weißt du Peter, wenn es dir hier nicht gefällt, dann geh einfqch 
woanders den Leuten auf die Nerven. Nicht alle Anfänger kennen Lothars 
Seite, und da stehen erstena die Kommentare und zweitens die Testbenches 
dabei.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.