Forum: FPGA, VHDL & Co. FPGA-Steuerung über UART


von Mike H. (Gast)


Lesenswert?

Hallo,

ich möchte über eine RS232-Schnittstelle einen FPGA(Altera) zu steuern.
Über die serielle Schnittstelle möchte ich den FPGA mit Informationen
versorgen, die es Intern verarbeiten soll.
Nach Abschluss soll mir der FPGA über die serielle SChnittstelle, Daten
als ASCII-Zeichen zurücksenden.

Kann mir hier jemand ein konkretes Projekt nennen, welches ich als
Beispiel benützen kann? Ich lerne gerade den Umgang mit FPGA´s.

Über ein gutes Beispiel, wie ich die zum FPGA gesendeten Daten intern
speichern und benützen kann, wäre ich sehr dankbar. Es sollen einzelne
ASCII Zeichen zum FPGA gesendet werden, etwa Zahlen, die im FPGA eine
Rechenroutine starten sollen. Etwa eine Multiplikation oder Addition.
Das Ergebnis sind wiederum ASCII-Zeichen, die zum PC gesendet werden
sollen.


Vielen vielen Dank

von Markus Brenner (Gast)


Lesenswert?

Was genau möchtest du dem FPGA übergeben? Einzelne Zahlen oder
Zahlenketten?
Du brauchst Register um diese Werte für die Weiterverarbeitung zu
spiechern.
Der FPGA sollte bei einer Übergabe auch wissen, ob es eine Zahl zum
Auswerten ist, die da empfangen wurde, oder ob die Eingabe verworfen
werden soll, wenn mehr als genug Daten oder falsche Daten vorliegen.
Ich würde dir gerne weiterhelfen, aber meine Kenntnisse sind auch nich
ausreichend. Zudem befasse ich mich mit einem ähnlichen Problem.

Viel Erfolg

von Mike H. (Gast)


Lesenswert?

Danke für den Tip.

Konkrete Beispiele würden mir aber eher weiterhelfen.

Ich möchte einzelne Zahlen über einen Terminal-Fenster zum FPGA senden.
Anfänglich immer nur zwei Zahlen hintereinander.
Vorm senden soll der Benutzer durch einen Hinweis vom FPGA zur
Eingabeaufforderung aufgefordert werden.
Für einen Tesetzweck sollen diese intern im FPGA multipliziert werden.
Das Ergebnis der Multiplikation soll dan vom FPGA zum PC übertragen
werden. Eine serielle Schnittstelle habe ich in opencores.org gefunden,
die ich einsetzen möchte.

Für weitere Hilfe wäre ich sehr dankbar

von Mike H. (Gast)


Lesenswert?

Hallo Leute,

kann mir hier vielleicht jemand weiterhelfen?

Danke!

von smay4finger. (Gast)


Lesenswert?

http://www.4finger.net/cms/studienarbeit.html

Lass Dich nicht von dem Titel beirren, USB ist bei der Geschichte über
einen USB nach Seriell-Wandler angeschlossen. Schau's Dir mal an, bei
Fragen schick mir eine Mail.

mfg, Stefan.

von Mike H. (Gast)


Lesenswert?

Ich dank dir vielmals Stefan.

Natürlich wäre ich über weitere auch noch so kleinere Projektbeispiele
oder cores sehr erfreut, da ich mich erst seit kurzem mit FPGA´s und
VHDL beschäftige.
Wie man z.B. Daten im FPGA zwischenspeichern kann, oder diese über
rs232 (nur RX und TX Leitungen verwendet) ausgeben kann wäre für einen
Anfänger wie mich sehr hilfreich. Habe theoretisch das Wissen, aber die
praktische Umsetzung fehlt :(

Danke euch allen für eure Tips

von Andi (Gast)


Lesenswert?

Hallo Mike

schau mal auf http://www.fpga4fun.com , dort findest du serielle
Empfangs- und Sende-Module, die genau erklärt werden.

Gruss Andi

von Mike H. (Gast)


Lesenswert?

Hi Leute,

ich danke euch sehr für eure Tips!
Ich bin dabei die Codes zu analysieren und dabei VHDL zu lernen.

Über weitere hilfreiche Module(Speicherregister, Filipflops etc.) in
VHDL oder AHDL würde ich mich sehr freuen

Bye

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.