Forum: FPGA, VHDL & Co. ICE40UP5K, Reset nutzen


von Ulrich (Gast)


Lesenswert?

Hallo,

ich habe mir zum Ausprobieren ein ICE40UP5K-Evalboard gekauft.

Bei Xilinx-FPGAs wie dem Artyx7 auf meinem Arty A7-35T bin ich es 
gewöhnt, dass ich den Reset-Pin einfach als Eingang nutzen kann, sobald 
ich beim ICE40UP5K aber versuche den Pin 8, Creset auszulesen, schimpft 
Radiant.

Wie muss ich denn bei dieser zugegeben scheinbar komplizierteren FPGAs 
vorgehen. Ich würde gerne bei einem Reset den FPGA mit Defaultwerten 
laden können.

Viele Grüße
Ulrich

von Gerd E. (robberknight)


Lesenswert?

Du kannst das CRESET_B bei den ice40 nicht direkt verwenden. Aus dem 
CRESET_B und dem Power-on-Reset wird ein internes rst-Signal erzeugt. 
Damit werden dann Deine FF initialisiert.

Siehe u.a. auch hier:
https://stackoverflow.com/questions/38030768/icestick-yosys-using-the-global-set-reset-gsr

von Ulrich (Gast)


Lesenswert?

Vielen Dank für die schnelle Antwort. Ich dachte, dass es möglicherweise 
einen anderen Weg gibt, dann ist das halt so.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.