Forum: FPGA, VHDL & Co. IP Cache entry does not have the required number of cached files


von Zitty Z. (Firma: ZATT) (zitierer)


Lesenswert?

Hallo guten Tag,

ich möchte eine Design synthetisieren.
Es kommt jedoch eine Warnung.
Weiß jemand was diese bedeutet:
1
[IP_Flow 19-5242] IP Cache entry (cache-ID 888fc04b930f26e1) does not have the required number of cached files and is not valid. Please delete this entry using the TCL command: 
2
'config_ip_cache -remove [get_ips xaui_0]'

Wenn man das ausführt in der tcl console erscheint :
1
config_ip_cache -remove [get_ips xaui_0]
2
WARNING: [Coretcl 2-1487] No matching IP cache entry found
3
0
------------------------------------------
Außerdem erscheint beim Schritt IMPLEMENTATION:
1
[Vivado 12-1411] Cannot set LOC property of ports, Site location is not valid ["<habeichentfernt>/test.srcs/constrs_1/new/myconstraints.xdc":26]
wobei
1
set_property PACKAGE_PIN E13            [ get_ports x_mgt216_clk_n_i ]
wo ist da das Problem?

Beim I/O planning sieht man folgendes:
1
E13  0  false  null  null  null  null  I/O Bank 216  MGT  null  Gigabit  null  null  null  null  null  MGTREFCLK1N  null  null  62.882  63.514  IPAD_X1Y47  MGTREFCLK1N_216

der xaui core hat die shareable Logik im core.
 Muss man auch im Re-custimize IP editor auswählen.
lt datenblatt ist dort IBUFDS_GTE2 enthalten:
dort ist refclk_p und refclk_n angeschlossen.

Allerdings kommt hier beim IMPLEMENTATION Schritt eine Fehlermeldung:
1
DRC
2
Netlist
3
Instance
4
Required Pin
5
IBUFDS_GTE2
6
7
[DRC REQP-1619] IBUFDS_GTE2_driven_by_IBUF: IBUFDS_GTE2 xaui_core/U0/xaui_support_clocking_i/refclk_ibufds pins I and IB should be driven by IBUFs.
8
[Vivado_Tcl 4-23] Error(s) found during DRC. Placer not run.

I and IB should be driven by IBUFs: soll man IBUFs einbauen?

Hab das wahrscheinlich falsch verbunden.
1
entity xaui_0_support_clocking is
2
    port (
3
      refclk_p             : in  std_logic;
4
      refclk_n             : in  std_logic;
5
      refclk               : out std_logic
6
      );
1
refclk_p_ibuf_inst : IBUF
2
  generic map (
3
    IBUF_LOW_PWR => FALSE,              -- Low power (TRUE) vs. performance (FALSE) setting for referenced I/O standards
4
    IOSTANDARD   => "DEFAULT")         -- Specify the input I/O standard
5
  port map (
6
    O            => refclk_p_ibuf,     -- Buffer output
7
    I            => refclk_p           -- Buffer input (connect directly to top-level port)
8
  );
9
  refclk_n_ibuf_inst : IBUF
10
  generic map (
11
    IBUF_LOW_PWR => FALSE,              -- Low power (TRUE) vs. performance (FALSE) setting for referenced I/O standards
12
    IOSTANDARD   => "DEFAULT")         -- Specify the input I/O standard
13
  port map (
14
    O            => refclk_n_ibuf,     -- Buffer output
15
    I            => refclk_n           -- Buffer input (connect directly to top-level port)
16
  );
17
18
  -- Differential Clock Module
19
    refclk_ibufds : IBUFDS_GTE2
20
    port map (
21
      I          => refclk_p_ibuf,
22
      IB         => refclk_n_ibuf,
23
      O          => refclk,
24
      CEB        => '0',
25
      ODIV2      => open );

Nutze Vivado 2019.1

: Bearbeitet durch User
von druck-spezialist (Gast)


Lesenswert?

[Place 30-574] Poor placement for routing between an IO pin and BUFG. If 
this sub optimal condition is acceptable for this design, you may use 
the CLOCK_DEDICATED_ROUTE constraint in the .xdc file to demote this 
message to a WARNING. However, the use of this override is highly 
discouraged. These examples can be used directly in the .xdc file to 
override this clock rule.
  < set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets 
a7_mgt216_clk_p_i_IBUF] >

  a7_mgt216_clk_p_i_IBUF_inst (IBUF.O) is locked to IPAD_X1Y44
   a7_mgt216_clk_p_i_IBUF_BUFG_inst (BUFG.I) is provisionally placed by 
clockplacer on BUFGCTRL_X0Y31

  The above error could possibly be related to other connected 
instances. Following is a list of
  all the related clock rules and their respective instances.

  Clock Rule: rule_bufds_gtp_common_intelligent_pin
  Status: PASS
  Rule Description: A BUFDS driving a GTPCommon must both be placed in 
the same or adjacent clock region
  (top/bottom)
   xaui_core/U0/xaui_support_clocking_i/refclk_ibufds (IBUFDS_GTE2.O) is 
locked to IBUFDS_GTE2_X0Y2
   xaui_core/U0/xaui_block_i/gt_wrapper_i/gtpe2_common_0_i 
(GTPE2_COMMON.GTREFCLK0) is provisionally placed by clockplacer on 
GTPE2_COMMON_X0Y1

  Clock Rule: rule_gtpcommon_gtpchannel
  Status: PASS
  Rule Description: A GTPCommon driving a GTPChannel must both be in the 
same clock region
   xaui_core/U0/xaui_block_i/gt_wrapper_i/gtpe2_common_0_i 
(GTPE2_COMMON.PLL0OUTCLK) is provisionally placed by clockplacer on 
GTPE2_COMMON_X0Y1
   xaui_core/U0/xaui_block_i/gt_wrapper_i/gt1_xaui_0_gt_wrapper_i/gtpe2_i 
(GTPE2_CHANNEL.PLL0CLK) is locked to GTPE2_CHANNEL_X0Y5
   xaui_core/U0/xaui_block_i/gt_wrapper_i/gt2_xaui_0_gt_wrapper_i/gtpe2_i 
(GTPE2_CHANNEL.PLL0CLK) is locked to GTPE2_CHANNEL_X0Y6
   xaui_core/U0/xaui_block_i/gt_wrapper_i/gt3_xaui_0_gt_wrapper_i/gtpe2_i 
(GTPE2_CHANNEL.PLL0CLK) is locked to GTPE2_CHANNEL_X0Y7
   xaui_core/U0/xaui_block_i/gt_wrapper_i/gt0_xaui_0_gt_wrapper_i/gtpe2_i 
(GTPE2_CHANNEL.PLL0CLK) is locked to GTPE2_CHANNEL_X0Y4

  Clock Rule: rule_gt_bufg
  Status: PASS
  Rule Description: A GT driving a BUFG must be placed on the same half 
side (top/bottom) of the device
   xaui_core/U0/xaui_block_i/gt_wrapper_i/gt0_xaui_0_gt_wrapper_i/gtpe2_i 
(GTPE2_CHANNEL.TXOUTCLK) is locked to GTPE2_CHANNEL_X0Y4
   and xaui_core/U0/xaui_block_i/xaui_cl_clocking_i/clk156_bufg_i 
(BUFG.I) is provisionally placed by clockplacer on BUFGCTRL_X0Y30

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.