Forum: FPGA, VHDL & Co. ModelSIM Fehlermeldung "Package requires a body"


von mässig erfahrener FPGA Wüstling (Gast)


Lesenswert?

Modelsim compiliert ein Projekt vollständig durch, kommt aber beim 
Starten der SIM mit der Fehlermeldung:
1
# vsim work.test_bench 
2
# ** Error: (vsim-3174) Package 'C:\Modeltech_6.3c\std.standard' requires a body.
Wie einige andere LIBs ist diese standardmäßig in den Projekten 
enthalten und über den Konstrukt "$MODEL_TECH/.../xyz" gelinkt. Es ist 
mir nun schon mehrfach passiert, daß dieser Fehler kommt und eine 
Neuinstallation von ModelSIM erforderlich wurde.

Ich habe den Eindruck, daß ich dort versehentlich ein refresh drauf 
gemacht habe, denn die Inhalte der zugehörigen Verzeichisse sind 
verändert.

Hat da jemand eine genaut Erklärung, was da passiert ?  Überschreibt der 
ModelSIM compiler hier irgendetwas ungewollt ?

von Danny B. (danny_b)


Lesenswert?

I found that I get this Modelsim "Package blabla requires a body" error 
message when I defined a constant but forgot to initialize it with a 
value.

I think VHDL allows you do this, as long as you have a separate body 
segment that initializes the value. Since I do not use a body segment at 
all, Modelsim will raise this particular error - rather than telling me 
I have an uninitialized constant.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.