Forum: FPGA, VHDL & Co. Fehlermeldung ISE: Can not determine the "others" values in aggregate ?


von Olli R. (downunderthunder42)


Lesenswert?

Hallo,

auch wenn mein anderer Beitrag noch läuft habe ich hier mal ein Frage zu 
einem gerade aufgetretenen Problem:

Ich bekomme folgende Fehlermeldung von ISE ausgegeben:

ERROR:HDLParsers:3236 - "F:/VHDL/VHDL_Codes/neues/pseuzufgen.vhd" Line 
114. Can not determine the "others" values in aggregate. (LRM 7.3.2.2)

Diese Meldung bezieht sich auf folgene Zeile:

if QINT(NUMBER_OF_REGS downto 0) = (others => '1') then

von user (Gast)


Lesenswert?

du musst die größe angeben, zb so

if QINT(NUMBER_OF_REGS downto 0) = (NUMBER_OF_REGS downto 0 => '1') then

von Olli R. (downunderthunder42)


Lesenswert?

user schrieb:
> du musst die größe angeben, zb so
>
> if QINT(NUMBER_OF_REGS downto 0) = (NUMBER_OF_REGS downto 0 => '1') then

das mochte er auch nicht. Dann kam ein anderer Fehler. aber egal ich hab 
das jetzt etwas anders gemacht!

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Olli R. schrieb:
> das mochte er auch nicht. Dann kam ein anderer Fehler.
Was denn für einer?
> aber egal ich hab das jetzt etwas anders gemacht!
Wäre schön, wenn du jetzt anderen sagen würdest, WIE du das gelöst hast.
Nur so funktioniert sinnvollerweise ein Forum.

So wie er jetzt dasteht, ist dieser Thread für die Katz... :-/
Und ganz am Rande lernst du auch nichts dabei.

von Olli R. (downunderthunder42)


Angehängte Dateien:

Lesenswert?

Lothar Miller schrieb:
> Olli R. schrieb:
>> das mochte er auch nicht. Dann kam ein anderer Fehler.
> Was denn für einer?
>> aber egal ich hab das jetzt etwas anders gemacht!
> Wäre schön, wenn du jetzt anderen sagen würdest, WIE du das gelöst hast.
> Nur so funktioniert sinnvollerweise ein Forum.
>
> So wie er jetzt dasteht, ist dieser Thread für die Katz... :-/
> Und ganz am Rande lernst du auch nichts dabei.

Ja da hast du recht. Da sich die Aufgabe eigentlich auch auf den Thread 
"flexibler Pseudozufallszahlengenerator! was mache ich falsch?" bezieht, 
hier nochmal der etwas lange VHDL-Code mit dem aber
die gewünschte Funktion (Pseudozufallszahlengenerator) gelöst wird.

Quasi habe ich statt das Problem zu lösen, es umgangen!

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Olli R. schrieb:
> Quasi habe ich statt das Problem zu lösen, es umgangen!
Jetzt wird die Sache klar:
REG_LENGTH ist ein Signal, das du zur "Laufzeit" ändern kannst.
Mit dieser Zuweisung
> if QINT(NUMBER_OF_REGS downto 0) = (others => '1') then
kann der Synthesizer nichts sinnvolles anfangen,
denn: was sollte er mit den anderen Bits tun? Ein Latch bauen?

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.