Forum: Analoge Elektronik und Schaltungstechnik Sinussignal 50 Hz erzeugen


von DirkF (Gast)


Lesenswert?

Hallo zusammen,
ich stehe vor dem Problen, wie ich am besten eine 50 Hz Sinusspannung 
erzeugen kann.
Lösung 1: Von der Netzspannung abgreifen scheidet aus.
Lösung 2: Über den vorhandene DAC und MCU per Software erzeugen.
Lösung 3: Eine OP Schaltung zum schwingen anregen....

Welchen Weg würdet ihr weiter verfolgen , oder gibt es evtl ein fertiges 
IC ?
Gruß Dirk

von Prof. C. (albert83)


Lesenswert?

Einfach ein 50 Hz Rechtecksignal erzeugen und mit einem steilen 
Tiefpassfilter die Grundschwingung herausfiltern. Allerdings scheint der 
Weg über den µC-DAC was Bauteile angeht weniger zu beanspruchen.

von Andreas K. (derandi)


Lesenswert?

Der Allheilsbringer: XR2206

von Murphy S. (student-f)


Lesenswert?

Problematisch ist nur beim XR2206, dass dieser nur bei Single Supply bis 
ca. 1,5V "runter geht"... Er geht nicht bis GND herunter..

von FPGAler (Gast)


Lesenswert?


von Andreas K. (derandi)


Lesenswert?

Er hat auch nie behauptet, das er ein Offset haben will. Mit einem 
Kondensator hinter dem Sinus-Ausgang wird AC draus.
Mit einem Poti (einfach) oder einem Op-Amp (etwas mehr Aufwand) kann er 
sich da dann ein Offset dazubauen, falls im danach sein sollte.

Ein Problem gibts aber noch: Der läuft erst ab etwa 10V sinnvoll an.

Aber der TE verrät ja auch nicht mehr.

von Wolfgang Horn (Gast)


Lesenswert?

Prof. Chaos schrieb:
> Einfach ein 50 Hz Rechtecksignal erzeugen und mit einem steilen
> Tiefpassfilter die Grundschwingung herausfiltern. Allerdings scheint der
> Weg über den µC-DAC was Bauteile angeht weniger zu beanspruchen.

Richtig,
ein Sallen-Key-Tiefpass ist mit einem OpAmp und wenig Hühnerfutter gut 
zu realisieren. Da braucht es auch keinen Abgleich.

Ciao
Wolfgang Horn

von Murphy S. (student-f)


Lesenswert?

Angenommen, Nichtinvertierender VErstärker verschiebt doch auch den 
Offset und die Amplituden des Sinus..

Wie addiere ich den nach Profiangaben den DC-Offset zu einem mit einem C 
in Reihe ausgekoppeltem DC-Anteil?

1. DC Offset entfernen mit einem C
2. AC ANteil nur noch vorhanden
3. DC Offset konstant halten auf z.B. 3V, wie addiere ich den auf das 
Sinussignal?

Ich bin gerade so frei und dreist, nicht böse sein. Obwohl die 
Fragestellung anders war...

von Ina (Gast)


Lesenswert?

>ich stehe vor dem Problen, wie ich am besten eine 50 Hz Sinusspannung
>erzeugen kann.

Wozu?

von Steffen W. (derwarze)


Lesenswert?

Warum so was einfaches unnötig kompliziert machen?
Wienbrücke oä. Schaltung mit OPV aufbauen, fertig.
Wenn keine speziellen Bedingungen gefordert werden reicht das völlig, µC 
(der dann auch noch einen OPV zusätzlich für die D/A Umsetzung braucht) 
ist für so was overkill.

von Klaus D. (kolisson)


Lesenswert?

Ich bestehe eigentlich auch auf der einfachen Version:
Rechteck -> LC Filter (einstufig) -> Happy sein

k.

von Christian L. (cyan)


Lesenswert?

Murphy Spider schrieb:
> 3. DC Offset konstant halten auf z.B. 3V, wie addiere ich den auf das
> Sinussignal?
Addierer?
http://www.mikrocontroller.net/articles/Operationsverst%C3%A4rker-Grundschaltungen#Der_Addierer_.28Summierverst.C3.A4rker.29

LG Christian

von Helmut S. (helmuts)


Lesenswert?

@Dirk
Wie genau soll die Frequenz und Amplitude denn sein?
Wenn du +/-1% Frequenzgenauigkeit haben willst, dann fallen alle rein 
anlogen Verfahren schon mal weg.

von Klaus D. (kolisson)


Lesenswert?

und der Klirrfaktor ??

k.

von FPGAler (Gast)


Lesenswert?

Mit der oben gelinketen DDS kann man den Klirrfaktor sogar einstellen: 
Einfach Oberwellen einauen, die nicht abschließen :-)

von DirkF (Gast)


Lesenswert?

Hi, danke für die zahlreichen Antworten.
Insbesondere den Hinweis mit dem IC finde ich gut.
Ich hatte überlegt, ein LCR Meter zu bauen.
Eine Sinus Testspannung mit bestimmter Frequenz, dann Strom messen, 
Mittelwertbildung...u.s.w
Also nochmals danke. Dirk

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.