Forum: FPGA, VHDL & Co. XC9572XL kein CLK?


von Hans (Gast)


Lesenswert?

Hallo,

vorweg gleich mal die Entschuldigung, falls die Frage all zu simple ist 
- ich bin nämlich blutiger Anfänger.

Im folgenden Beispiel brennt die LED am XC9572XL (VQ44):
1
NET "CLK"          LOC = "P43";
2
NET "LED1"        LOC = "P20";
3
NET "RESET"      LOC = "P33";
4
5
entity alogic is 
6
  Port ( 
7
      CLK : in  STD_LOGIC;
8
      RESET : in  STD_LOGIC;
9
      LED1 : out  STD_LOGIC
10
      );
11
end alogic;
12
13
architecture Behavioral of alogic is
14
15
begin
16
  --Device process.
17
  process (RESET,CLK) begin
18
19
    --Reset signal detected.
20
    if RESET = '1' then
21
      LED1 <= '0';
22
23
    --Clock signal.
24
    elsif CLK'event and CLK = '1' then
25
      LED1 <= '1';
26
    
27
    end if;
28
  end process;
29
end Behavioral;

Wenn ich die LED1-Zuweisungen nun tausche, dann brennt sie nicht mehr! 
Also liegts eindeutig am CLK-Signal, oder? Das dumme ist nur, dass ich 
CLK am entsprechenden Pin messen kann. Was kann das noch sein?

Gruß Hans

von Hans (Gast)


Lesenswert?

Es hat sich erledigt. Ich dachte, ich kann den Pin offen lassen - er muß 
aber auf GND. Danke.

von Duke Scarring (Gast)


Lesenswert?

Hans schrieb:
> brennt die LED
Hehe, wenn's brennt ruf die Feuerwehr :-)

Duke

P.S.: Beschäftige Dich mal mit der Simulation. Das kannst Du schön 
sehen, ob sich Deine Hardwarebeschreibung erwartungsgemäß verhält.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.