Forum: FPGA, VHDL & Co. VHB - FPGAOnline


von D. I. (Gast)


Lesenswert?

Vielleicht ist das ja für den ein oder anderen Studi interessant:

http://www3.informatik.uni-erlangen.de/Research/FPGAOnline/#Description

von Harald (Gast)


Lesenswert?

Virtuelles FPGA-Programmieren. Wie effektiv ist das? Entweder ich habe 
ein reales board oder nicht. Ich denke, die wollen so nur noch ein paar 
Euro machen. VHDL ist so derart simpel, dass man dafür keinen Kurs 
braucht.

Frage: Wer von euch hat einen VHDL Kurs gehabt? Die meisten bringen sich 
das doch von alleine bei oder lernen es irgend wo nebenher in der Firma.

Und was die Studenten und das Thema genrell angeht:

VHDL ist nur eine Sprache, so wie C oder English. Entscheidend ist, 
digitale Verarbeitungstechniken und Strukturen zu kennen und zu erlernen 
und da ist die Sprache nur der erste Schritt!

Heute kann doch jeder Heini VHDL und es gibt hunterttausend Selbständige 
und FPGA-Design Servie Buden, die sowas anbieten und alle nicht 
ausgelastet sind.

VHDL als Paket geht sowieso in Richtung Russland und Tschechien, so wioe 
C nach Ungarn und Indien. Man muss konkrete Schaltungstechnik können. 
Das VHDL ist Nebenbeigedöhns.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Harald schrieb:
> VHDL ist so derart simpel, dass man dafür keinen Kurs braucht.
Der Trick liegt darin, dass man im echten Leben nicht "VHDL an sich" 
braucht, sondern "VHDL für FPGAs" o.ä.
Und das macht dieses "Remote-FPGA" doch: was zuhause am Simulator 
tadellos läuft, das zickt herum, wenn es auf das FPGA gebracht wird. Und 
das zu sehen, ohne ein Borad auf dem Schreibtisch zu haben, ist 
zumindest doch lustig...

> Frage: Wer von euch hat einen VHDL Kurs gehabt?
Mit Einschränkungen: Ich. Mehrere.
Die Einschränkungen: die Kurse waren nach den Anfängen meist 
anwendungsbezogen (PCI, PCIe...). Aber was das beste an solchen Kursen 
ist: man kann mal über den eigenen Tellerrand rausschauen. Sonst kommt 
immer nur der Einheitsbrei raus.
Heutzutage geht es nicht mehr um VHDL, sondern um die FPGAs an sich. Was 
können neue Generationen oder andere Hersteller (besser)?

> Entscheidend ist, digitale Verarbeitungstechniken und Strukturen
> zu kennen und zu erlernen und da ist die Sprache nur der erste Schritt!
Ich meine eher, die Beschreibungssprache, in der ich meine Gedanken 
umsetze, ist der LETZTE Schritt. Wenn ich ein klares Bild davon habe, 
was ich brauche und was ich will, dann bekomme ich das in jeder Sprache 
gesagt (Schematics, VHDL, Verilog, Abel...). Wenn ich nicht weiß, wie 
etwas lösbar sein könnte, dann helfen mir auch ausgefuchste 
VHDL-Kenntnisse nicht weiter.

von berndl (Gast)


Lesenswert?

Lothar Miller schrieb:
> Ich meine eher, die Beschreibungssprache, in der ich meine Gedanken
> umsetze, ist der LETZTE Schritt. Wenn ich ein klares Bild davon habe,
> was ich brauche und was ich will, dann bekomme ich das in jeder Sprache
> gesagt (Schematics, VHDL, Verilog, Abel...). Wenn ich nicht weiß, wie
> etwas lösbar sein könnte, dann helfen mir auch ausgefuchste
> VHDL-Kenntnisse nicht weiter.

ich wollte schon was anderes schreiben, aber du hast (meiner Meinung 
nach) die Kurve mit dem zitierten Teil noch gekriegt :o)

VHDL ist ein Tool, das es mir ermoeglicht, eine bestimmte 
Aufgabenstellung mehr oder weniger effektiv zu loesen. Nicht mehr und 
auch nicht weniger.
Wenn ich sehe, was sich so alles bewirbt und anpreist bzgl. VHDL: Tja, 
in Analogie koennte ich sagen, ich bin der geborene Programmierer! Die 
Syntax kenne ich (ich weiss zwar nicht wirklich was da so alles abgeht, 
aber ich kann 3 Zeilen unfallfrei hinschreiben...). Sehe das im Job 
leider des oefteren...

Und ja, ich hab' schon Chips gemacht mit einem zugegebenermassen geilen 
grafischen Tool, als VHDL-Verilog-und_proprietaere_Sprachen noch was 
esoterisches waren...

von Steffen H. (Gast)


Lesenswert?

VHDL ist in 5 Jahren genau so überholt, wie heute C. Kaum einer mehr 
generiert das selber, sondern lässt es effektiv von Automaten machen, 
weil niemand mehr die Zeit und das Geld hat, ewige Fehlersuchen zu 
betreiben.

VHDL-Programmierer werden in Zukunft genau so wenig gebraucht, wie 
C-Programmierer. Das Thema hat sich.

von Klaus Falser (Gast)


Lesenswert?

Steffen H. schrieb:
> VHDL-Programmierer werden in Zukunft genau so wenig gebraucht, wie
> C-Programmierer. Das Thema hat sich.

Sicher ..
Das nächster iPhone hat den Klinkenstecker direkt ins Hirn, da braucht 
man sich nur mehr zu wünschen was man braucht.
Wird da eigentlich nur getrollt, oder was?

von Steffen H. (Gast)


Lesenswert?

Der nächste iPhone ist ein beauftragtes redesign vom Vorgänger. Wenn Du 
Dir mal ansiehst, wie sehr die Zahl der Entwickler schrumpft und wie 
sehr momentan Entwicklung ausgelagert wird, sodass immer mehr Firmen 
Elektronik gleich für mehrere Hersteller fertigen, dann siehst Du dass 
Entwicklung immer billiger wird und werden muss und immer weniger zu tun 
gibt.

Freilich wird es nicht NIEMAND sein, klar - aber es werden weniger!

Auf der anderen Seite schnellt die Zahl der vorhanden Entwickler, die 
ein modernes Tool, eine Methode etc kennen, in die Höhe. Diese Arbeit 
wird billiger. Frag dich doch mal selber, wer in Deiner Umgebeung alles 
C Programmieren kann und wer davon es auch noch tut?

Genau so sieht es mit der Zukunft von VHDL aus!

von hutu (Gast)


Lesenswert?

Da es scheinbar immer noch viele gibt die der Meinung sind VHDL wäre 
leicht: wie wärs mit einem Contest?

Rahmenbedingungen:

- Ein Modul mit beliebig vielen Untermodulen ist zu schreiben
- Es gibt genau einen Takt
- Testbench die auf Korrektheit prüft ist vorgegeben
- konkretes FPGA+Synthesetool für Synthese ist vorgegeben

Akzeptiert werden nur Lösungen die keine Synthesefehler enthalten(auf 
der Hardware laufen würden).

Gewinner ist derjenige bei dem der Wert (minimales Delay in ps * 
verwendete Luts * verwendete FF) am niedrigsten ist.


Da würde sich mal zeigen wer hier nur ein Schaumschläger ist und wer 
wirklich verstanden hat was man mit VHDL leisten muss.

Ich habe nämlich immer das Gefühl die meisten haben noch nie wirklich 
kritische und aufwändige Lösungen präsentieren müssen und sind deswegen 
der Meinung das könne jeder nach 3 Tagen einarbeitung oder 3 klicks im 
Wizard.

von Sven P. (Gast)


Lesenswert?

Was fürn Käse.

VHDL ist syntaktisch grausam, aber simpel. VHDL für die Synthese zu 
missbrauchen, ist dagegen fast kriminell.

Ich stecke zur Zeit in einer Vorlesung VHDL und ich sehe meine 
Einstellung dazu in jeder Vorlesung wieder bestätigt: VHDL für FPGAs ist 
alles, aber gewiss nicht einfach. Die Leute, die Gegenteiliges 
behaupten, haben vorher vermutlich noch nie ins Syntheseergebnis 
geschaut.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Steffen H. schrieb:
> VHDL-Programmierer werden in Zukunft genau so wenig gebraucht, wie
> C-Programmierer.
Dann mache ich mir als VHDLer für die Zukunft keine Sorgen.
Denn du ignorierst eines grundlegend: es gibt C++, C#, Java...
Aber es gibt keine etablierte Hardwarebeschreibungssprache neben VHDL 
und Verilog. Und wenn, dann beschreibe ich die Hardware eben in SystemC 
(das ist C-Syntax, oder?).

Steffen H. schrieb:
> Der nächste iPhone ist ein beauftragtes redesign vom Vorgänger.
Also das, das nächstes Jahr erscheinen wird? Und das übernächste? Und 
das überübernächste?
> Freilich wird es nicht NIEMAND sein, klar - aber es werden weniger!
Es gibt berühmte Fehleinschätzungen. Diese hier wird nicht berühmt.
>  Frag dich doch mal selber, wer in Deiner Umgebeung alles
> C Programmieren kann und wer davon es auch noch tut?
Hast du mal in die Industrie geschaut, dort wo die Abermilliarden uCs 
verbaut werden?
> Genau so sieht es mit der Zukunft von VHDL aus!
Von welchem Zeitrahmen sprichst du?

hutu schrieb:
> wie wärs mit einem Contest?
Könnte lustig sein. Allerdings darf die Hemmschwelle nicht so hoch sein, 
dass sowieso nur fertige Spezialisten mitmachen. Denn dann wird das nur 
hochwertige Muskelspielerei, und die geneigten Anfänger lernen nichts 
dabei...
> Akzeptiert werden nur Lösungen die keine Synthesefehler enthalten
Warnungen sind erlaubt?
> (auf der Hardware laufen würden).
Das kannst du mit deinen Rahmenbedingungen aber nicht sicherstellen! Ich 
kann dir ganz problemlos ein Design zeigen, das keinerlei Fehlermeldung 
erzeugen wird, aber garantiert nicht läuft...   :-o
> Gewinner ist derjenige bei dem der Wert (minimales Delay in ps *
> verwendete Luts * verwendete FF) am niedrigsten ist.
Interessante Formel... ;-)

von Klaus F. (kfalser)


Lesenswert?

hutu schrieb:
> Gewinner ist derjenige bei dem der Wert (minimales Delay in ps *
> verwendete Luts * verwendete FF) am niedrigsten ist.

Das wäre Unsinn.
Auch bei VHDL ist es wie bei normaler Software.
Absolute Effektivität muss nicht mehr das Ziel sein, weil ausreichend 
Resourcen vorhanden sind.
Ein guter SW Programmierer ist heutzutage nicht mehr derjenigen, der den 
schnellsten Code, sondern der den übersichtlichsten, am besten wartbaren 
und den verständlichsten Code schreibt.

Steffen H. schrieb:
> Frag dich doch mal selber, wer in Deiner Umgebeung alles
> C Programmieren kann und wer davon es auch noch tut?

Nachdem ich im embedded Bereich arbeite, so ziemlich alle und das wird 
sich meiner Einschätzung auch nicht ändern.
C ebenso wie VHDL/Verilog decken alle benötigten Aspekte für diese Art 
von Arbeiten ab, warum sollte man da wechseln.
Etwas anderes ist ein Arbeiten auf abstrakterem, höherem Niveau. Dort 
machen andere Programmiersprachen Sinn, aber welchen Vorteil soll einen 
andere Programmiersprache haben, wenn ich eine ISR für einen AVR 
programmieren muss? Da macht nicht einmal C++ einen Sinn.

von Maus (Gast)


Lesenswert?

Klaus Falser schrieb:
> Absolute Effektivität muss nicht mehr das Ziel sein, weil ausreichend
> Resourcen vorhanden sind.

Wenn das bei deinen Aufgaben so ist dann herzlichen Glückwunsch.

Ich kenne es eigentlich immer so:

- so klein wie möglich, weil größer = teurer
- so schnell wie möglich, alles über langsamstem Speedgrade kommt kaum 
in Frage

Versuch mal dem Chef zu erklären das dein Code super aufgeräumt ist, 
dein Nachfolger (der sicher bald kommen wird bei der Antwort) ihn 
problemlos übernehmen kann, du aber leider den nächst höheren Speedgrade 
brauchst, was das Produkt mal eben 10-40% mehr teurer macht.


Wenn ein Design mit Mühe und Not z.b. in einen mittelgroßen Virtex6 
passt(sowohl Slices/Ram/Mults als auch vom Timing her), dann machst du 
dir darüber logischerweise auch die meisten Gedanken.

Und das ist auch der Punkt wo Erfahrung gesucht ist:

- wie wird eine Beschreibung im FPGA tatsächlich umgesetzt?
- welches Design ist schnell und/oder brauch wenig Ressourcen?
- was funktioniert auch wirklich auf der Zielhardware?

Das kann mitnichten jemand der einen 3 Tages Kurs besucht hat und 
deswegen wirds dafür auch weiterhin Leute brauchen.


Achja: contest wäre gut. Man könnte ja eine Aufgabe mit Lernwert 
stellen, wo Anfänger sich dran versuchen können sie überhaupt zu lösen 
und Erfahrene mal zeigen können was sie beherrschen und was man 
rausholen kann.

Eine Bewertung muss es ja nicht geben, reicht ja wenn jeder seinen Code 
zeigt und den PAR Report mit angibt, dann kann jeder subjektiv 
entscheiden was ihm gut gefällt.

Ich fänds persönlich sehr nützlich, weil man so eventuell einige 
interessante Ansätze kennenlernt.

von René D. (Firma: www.dossmatik.de) (dose)


Lesenswert?

> - Ein Modul mit beliebig vielen Untermodulen ist zu schreiben
> - Es gibt genau einen Takt
> - Testbench die auf Korrektheit prüft ist vorgegeben
> - konkretes FPGA+Synthesetool für Synthese ist vorgegeben


Wäre interessant, da meine Testbench nie vor dem Start der Entwicklung 
vorhanden sind, sonder immer mitwachsen.

von Klaus F. (kfalser)


Lesenswert?

Maus schrieb:
> Klaus Falser schrieb:
>> Absolute Effektivität muss nicht mehr das Ziel sein, weil ausreichend
>> Resourcen vorhanden sind.
>
> Wenn das bei deinen Aufgaben so ist dann herzlichen Glückwunsch.
Danke.

> Versuch mal dem Chef zu erklären das dein Code super aufgeräumt ist,
> dein Nachfolger (der sicher bald kommen wird bei der Antwort) ihn
> problemlos übernehmen kann, du aber leider den nächst höheren Speedgrade
> brauchst, was das Produkt mal eben 10-40% mehr teurer macht.

Vielleicht habe ich wirklich das Glück, nicht mit den teuersten 
Bausteinen arbeiten zu müssen. Bei unseren Platinen macht der Baustein 
nicht so viel aus, und ob man einen höheren Speedgrade benötigt hängt 
viel mehr von der zusätzlichen Pflege des Designs (korrekte constraints 
setzten, partitionieren, usw.) ab, als vom VHDL Code selbst.
Deshalb kann man ein VHDL Design nicht aufgrund des erreichten Timings 
beurteilen.

> Und das ist auch der Punkt wo Erfahrung gesucht ist:
>
> - wie wird eine Beschreibung im FPGA tatsächlich umgesetzt?
Dazu gibt es die Dokumentation der entsprechenden Compiler wie z.B. XST 
User Guide.

> - welches Design ist schnell und/oder brauch wenig Ressourcen?
> - was funktioniert auch wirklich auf der Zielhardware?

Ich weis nicht, wieviel HW-Erfahrung Du hast, aber gerade solche Fragen 
klingen nach einem SW Programmierer, der VHDL als Programmiersprache 
auffasst.

von FPGA-Berater (Gast)


Lesenswert?

hutu schrieb:
> Da würde sich mal zeigen wer hier nur ein Schaumschläger ist und wer
> wirklich verstanden hat was man mit VHDL leisten muss.

Diese Vorstellung, man müsse zeitoptimierte Lösungen liefern höre ich 
von vielen Besserwisesern. Diese verkennen, dass es in der Regel darauf 
ankommt, Entwicklungskosten zu sparen und damit eben genau NICHT 
technische beste Lösungen, sondern einfache und schnelle Lösungen 
hinzustellen und dies insbesondere deshalb, weil genau die FPGA vermehrt 
dort zum Einsatz kommen, wo es um geringe Stückzahlen geht und sich der 
overhead für den Entwickler besonders starkt niederschlägt.

Solche "ich mache die optimale Lösung"-Typen sind für FPGA Design meist 
nicht einsatzbar, weil sie kein "Kostengefühl" besitzen.

Du kannst nun argumentieren, dass Du das verstanden hast und die 
optimalee Geschichte nur als Wettbewerb vorgeschlagen hast, aber dann 
muss ich Dir trotzdem eine verbraten, weil du damit verkennst, dass man 
mit dieser Methode eben nicht den typischen FPGA-Entwickler testen kann.

Ich z.B. arbeite fast nur im Bereich kostenoptimierter Entwicklung, weil 
die Zahl der Prototspen gering und die Kosten für dickerer FPGAs egal 
sind.

von Stefan W. (wswbln)


Lesenswert?

Oh, ein herrlicher Chips und Cola Thread!

Ich hoffe Steffen H. erzählt uns noch ein paar Erkenntnisse aus seiner 
Glaskugel. In der Zwischenzeit "programmiere" ich noch ein paar FPGAs in 
VHDL (solange ich noch gebraucht werde) :-)

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Stefan Wimmer schrieb:
> (solange ich noch gebraucht werde) :-)
Lass dir Zeit...   ;-)

von Christian R. (supachris)


Lesenswert?

Stefan Wimmer schrieb:
> (solange ich noch gebraucht werde) :-)

Och, das is sicher noch sehr sehr lange. Und wenn doch nicht, kannst du 
ja auf ASIC umsatteln, dann gehts garantiert bis zur Rente. Lass die 
diplomierten Dampfplauderer hier mal schwarzmalen....ich mach dann auch 
mal weiter.

von Martin K. (mkmannheim) Benutzerseite


Lesenswert?

Na ich weiss nicht, ob sich da nicht manche überschätzen oder die 
Dynamik des Marktes unterschätzen. FPGA-VHDL wird ja schon eine gute 
Weile an den Unis gelehrt und jedes Jahr kommen Leute zum Vorschein die 
das machen und können. Ich kenne auch viele INF-Leute (aus meinem 
eigenen Bereich) und auch Physiker, die mangels Arbeit in den 
VHDL-Sektor drängen. Da wird über kurz oder lang ein Sättigungseffekt 
eintreten.

Ich sehe es ja selber an unserer eigenen Firma: Die VHDL-Aufträge gehen 
eher zurück und wenn dann nur noch Freiberufler, die zu 50% was anderes 
rund ums VHDL machen und in der Firma sitzen müssen.

Momentan ist automatische Synthese schwer im kommen, deckt aber biher 
nur einen winzigen Anteil des Marktes ab, weil die tools noch nicht viel 
taugen. Aber kommt Zeit, kommt Tool! Bei Matlab arbeitet man fieberhaft 
an Systemen um ganze Mathematiksimulationen, die rein logistisch oder 
physikalisch sind und nichts mit FPGAs zu tun haben, dennoch in VHDL zu 
übersetzen, weil man sie dann in HW bauen und HIL nutzen kann. Die 
Simulationen laufen dann einfach schneller, als intepretierte Versionen 
in C oder ähliches.

Die Auto-VHDL Generation, die dafür benltigt wird, ersetzt dann die 
realen Designs, die gezielt in HW gebaut werden sollen, so eben mit bei. 
Die Investition zahlt sich doppelt aus.

von maus (Gast)


Lesenswert?

Klaus Falser schrieb:
> Vielleicht habe ich wirklich das Glück, nicht mit den teuersten
> Bausteinen arbeiten zu müssen. Bei unseren Platinen macht der Baustein
> nicht so viel aus, und ob man einen höheren Speedgrade benötigt hängt
> viel mehr von der zusätzlichen Pflege des Designs (korrekte constraints
> setzten, partitionieren, usw.) ab, als vom VHDL Code selbst.
> Deshalb kann man ein VHDL Design nicht aufgrund des erreichten Timings
> beurteilen.


das gibt es durchaus auch, kenne mittlerweile beides.

Habe mich allerdings davon und damit auch der Firma verabschiedet, weil 
ich darin wenig Zukunft sehe.

Wenns nur um möglichst schnell, möglichst dokumentiert(ersetzbarkeit des 
Entwicklers) geht, dann hat man davon als Person nicht viel.

Insofern ist das mit dem Glückwunsch genau genommen etwas unglücklich 
gewählt gewesen.


Derzeit geht es eher um geringe Stückzahlen bei denen die eingesetzten 
FPGAs rund 30-50% der Herstellungskosten ausmachen und bei denen es 
grenzwertig ist ob die erforderte Funktionalität überhaupt geleistet 
werden kann.

Aber genau das ist doch der Punkt: es gibt unterschiedliche 
Anforderungen und das Bedarf auch unterschiedlicher Charaktäre. Ich 
mache mir deswegen eigentlich kaum Sorgen um FPGA Entwickler in D.


Klaus Falser schrieb:
> Ich weis nicht, wieviel HW-Erfahrung Du hast, aber gerade solche Fragen
> klingen nach einem SW Programmierer, der VHDL als Programmiersprache
> auffasst.

Lustig, hatte ähnliches vermutet. Bei Sätzen wie:

Klaus Falser schrieb:
> Ein guter SW Programmierer ist heutzutage nicht mehr derjenigen, der den
> schnellsten Code, sondern der den übersichtlichsten, am besten wartbaren
> und den verständlichsten Code schreibt.


Die Denkweise bei FPGA-Entwicklung (sei das nun VHDL oder Verilog, 
spielt ja keine Rolle) unterschieded sich doch nun wirklich 
grundsätzlich von klassischer Software.

Obwohl ich in Software auch manchmal gerne ein "rising_edge" oder "for 
generate" hätte ;)

von Klaus F. (kfalser)


Lesenswert?

maus schrieb:
> Klaus Falser schrieb:
>> Ein guter SW Programmierer ist heutzutage nicht mehr derjenigen, der den
>> schnellsten Code, sondern der den übersichtlichsten, am besten wartbaren
>> und den verständlichsten Code schreibt.
>
>
> Die Denkweise bei FPGA-Entwicklung (sei das nun VHDL oder Verilog,
> spielt ja keine Rolle) unterschieded sich doch nun wirklich
> grundsätzlich von klassischer Software.

Jain.
In beiden Fällen kommt es darauf an, ein Problem in möglichst sauber 
getrennte Komponenten zu zerlegen, zwischen denen es klare und 
verständlichen Schnittstellen gibt.
Und das macht meiner Meinung auch den Unterschied zwischen einem guten 
und einem schlechten Programmierer aus. Schlechte Programmierer kapseln 
z.B. auch nicht so zusammenhängende Teile in Funktionen, oder schreiben 
alles linear herunter.
Auch bei VHDL kann ich alles in einen einzigen Prozess setzen (der mit 
dem Takt) oder mehrere Prozesse schreiben (auch wenn sie mit dem 
gleichen Takt laufen) und die zusammengehörenden Signale übersichtlich 
beeinanderhalten.

maus schrieb:

> Klaus Falser schrieb:
>> Ich weis nicht, wieviel HW-Erfahrung Du hast, aber gerade solche Fragen
>> klingen nach einem SW Programmierer, der VHDL als Programmiersprache
>> auffasst.
>
> Lustig, hatte ähnliches vermutet.

Nicht ganz falsch, ca. 30 Jahre SW und Hardware, ca. 15 Jahre VHDL.

von Edi M. (Gast)


Lesenswert?

Klaus Falser schrieb:
> 30 Jahre SW
25 Jahre Ballast :-)

von Klaus F. (kfalser)


Lesenswert?

E. M. schrieb:
> Klaus Falser schrieb:
>> 30 Jahre SW
> 25 Jahre Ballast :-)

Frühere Generationen nannten dies noch Erfahrung und Weisheit :-)

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Martin Kluth schrieb:
> Ich kenne auch viele INF-Leute (aus meinem eigenen Bereich) und auch
> Physiker, die mangels Arbeit in den VHDL-Sektor drängen.
Das sind die, die mir am allerwenigsten Sorgen um meinen Job bescheren. 
Ehrlich.

> Momentan ist automatische Synthese schwer im kommen, deckt aber biher
> nur einen winzigen Anteil des Marktes ab, weil die tools noch nicht viel
> taugen.
Die kommt schon lang, und den "leichten Umstieg" der "billigen 
C-Programmierer" wollte man schon mit System-C in die Wege leiten...
> Aber kommt Zeit, kommt Tool!
Und trotzdem braucht man immer noch den Spezialisten, der am Designende 
den Sand aus dem (automatischen) Getriebe fegt und Öl reinschüttet, 
damit es reibungslos läuft.

von Ralle (Gast)


Lesenswert?

Lothar Miller schrieb:
> damit es reibungslos läuft.

Maschinisten :-)

Harald schrieb:
> Virtuelles FPGA-Programmieren.
Hab's mir mal angesehen: Finde ich nicht so schlecht.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.