Forum: FPGA, VHDL & Co. eigene Packages in Modelsim laufen nicht?


von Oliver R. (rollinator)


Lesenswert?

Hallo,
ich hab ein Modul erstellt, das auf ein eigenes Package zugreift!
Mit ISE wird das Modul auch synthetisiert aber in Modelsim findet er 
anscheindend das Package nicht?

ich lade das Package über:
use datatypes_seg7.all; -- this package contains own datatypes that are 
vectors of 4 elements with 8 respective 4 bits each element!

Das Package enthält nur 2 eigene Datentypen!

wie kann ich Modelsim sagen, wo das Package zu finden ist?

Momentan gibt mir Modelsim die Fehlermeldung:

Error: Unkown Identifier "datatypes_seg7" ???


Achso, wenn ich die Datenypen direkt in der vhd deklariere, gibt es die 
Meldung:
syntax error near "type" ???

von berndl (Gast)


Lesenswert?

Oliver R. schrieb:
> use datatypes_seg7.all;

vlt. mal mit 'use work.datatypes_seg7.all;' probieren?

von Oliver R. (rollinator)


Lesenswert?

berndl schrieb:
> vlt. mal mit 'use work.datatypes_seg7.all;' probieren?

Oh mann,

Vielen Dank berndl

Das hätte ich erst nach Stunden gesehen!

Jetzt geht's ... zumindest dieser Teil schon mal ;)

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.