Forum: FPGA, VHDL & Co. [S] Hardware-basierter VHDL-Lehrgang


von Thomas T. (knibbel)


Lesenswert?

Hallo,

kennt ihr Anbieter in Deutschland, welche VHDL-Lehrgänge (Grundkurse) 
anbieten und dies nicht nur auf theoretischer Ebene, sondern auf Basis 
eines Starterboards (evtl. zum mitnehmen, Xilinx oder Altera?) und dabei 
auch die Entwicklungsumgebung mit einbeziehen?

Danke und Gruß,
Thomas

von Marius W. (mw1987)


Lesenswert?

Hast du schonmal diverse Hochschulen abgeklappert, ob es dort in der 
Elektrotechnik irgendwelche VHDL-Kurse gibt?!

Gruß
Marius

von Christian R. (supachris)


Lesenswert?

PLC2 ist da ein Anbieter, da läufts mit Xilinx Boards.

von Marcus H. (mharnisch) Benutzerseite


Lesenswert?

Thomas T. schrieb:
> kennt ihr Anbieter in Deutschland, welche VHDL-Lehrgänge (Grundkurse)
> anbieten

Du könntest auf meiner Benutzerseite nachsehen...

Gruß
Marcus

von Lupinus Digitalus (Gast)


Lesenswert?

Oder schau doch mal unter www.jumpin2practice.de nach.

Gruss
Lupi

von Thomas T. (knibbel)


Lesenswert?

Vielen Dank für die Vorschläge.

Ich werde mir am Wochenende mal die Internetseiten ansehen und schauen, 
ob was Interessantes dabei ist ...

Nochmals vielen Dank,
Thomas

von Entwickler12345 (Gast)


Lesenswert?

Hallo,

wenn dir was Xilinx spezifisches passt, kann ich dir nur PLC2 empfehlen. 
Bin dort selber regelmäßig zur Schulung und kann nur sagen Top!!!
Die Lehrer sind super, das Umfeld dort ist top und man lernt sehr viel.
Einfach nur zu empfehlen.

Gruß Marco

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Thomas T. schrieb:
> welche VHDL-Lehrgänge (Grundkurse)
> anbieten und dies nicht nur auf theoretischer Ebene, sondern auf Basis
> eines Starterboards (evtl. zum mitnehmen, Xilinx oder Altera?)
Du vermischst hier 2 Sachen:
1. die Sprache VHDL
2. den Baustein FPGA
In einer VHDL-Schulung bekommst du VHDL beigebracht. Das kannst du 
entspannt auch zuhause im stillen Kämmerlein lernen...
Nur: allein mit VHDL-Kenntnissen kommst du bei FPGAs nicht weit. Schon 
die einfache und beliebte Verzögerungsleitung (z.B. a <= b after 100ms;) 
funktioniert nur in der Simulation.
Also brauchst du Wissen, was vom VHDL Sprachumfang synthetisierbar ist, 
und WIE das auf die Hardwarekomponenten abgebildet wird.

Entwickler12345 schrieb:
> wenn dir was Xilinx spezifisches passt, kann ich dir nur PLC2 empfehlen.
Full Ack.
Das schöne an der Xilinx-PLC2 Schulung ist, dass dort speziell auf die 
Xilinx-Hardware eingegangen wird. Bei anderen Schulungen habe ich 
erlebt, wie die Herstellerunabhängigkeit dazu führt, dass die kleinen 
speziellen Tricks (deren Kenntniss das Leben erleichtern oder bei 
Nichtwissen unglaublich erschweren) nicht vermittelt wurden...

von Duke Scarring (Gast)


Lesenswert?

Entwickler12345 schrieb:
> wenn dir was Xilinx spezifisches passt, kann ich dir nur PLC2 empfehlen.
Naja. Ich war mal zu einem "advanced"-Lehrgang dort und der Kursinhalt 
verlief sich in den Basics...

Duke

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Duke Scarring schrieb:
> Naja. Ich war mal zu einem "advanced"-Lehrgang dort und der Kursinhalt
> verlief sich in den Basics...
Ja, am besten wäre eigentlich, vor so einem Kurs einen Fragebogen 
ausfüllen zu lassen, um den Wissensgleichstand der Teilnehmer zu 
sichern. Denn manch einer hält sich für "advanceder", als er tatsächlich 
ist...

von Marcus H. (mharnisch) Benutzerseite


Lesenswert?

Lothar Miller schrieb:
> Ja, am besten wäre eigentlich, vor so einem Kurs einen Fragebogen
> ausfüllen zu lassen, um den Wissensgleichstand der Teilnehmer zu
> sichern. Denn manch einer hält sich für "advanceder", als er tatsächlich
> ist...

Das wird von professionell arbeitenden Anbietern üblicherweise im 
direkten Kontakt zwischen Trainer und Kunden ausgelotet. Natürlich 
rutscht da auch mal der eine oder die andere durch die Maschen.

Gruß
Marcus

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.