Forum: FPGA, VHDL & Co. Unconstrained Arrays mit ModelSim simulieren


von marc (Gast)


Lesenswert?

hallo zusammen,

kann mir jemand erklären warum ModelSim(v10.1a) mit folgendem Code nicht 
zurecht kommt?
1
library ieee;
2
use ieee.std_logic_1164.all;
3
use ieee.numeric_std.all ;
4
5
package image_stream_pkg is
6
     
7
  -- Imagestream definieren
8
  type t_streamdata is array(natural range <>) of unsigned(natural range <>);
9
10
end package image_stream_pkg;

Es erscheint folgende Fehlermedlung:
# ** Error: ..image_stream_pkg.vhd(17): near "<>": syntax error
# ** Error: ..image_stream_pkg.vhd(20): VHDL Compiler exiting

Ich meinte, dass ModelSim ab der Version 10.1 unconstrained arraytypen 
unterstützt? Oder was mache ich falsch?
Das Design lässt kann ich unter Quartus 11.1 problemlos synthetisieren.

Kann mir jemand weiterhelfen?

Grüsse Marc

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

marc schrieb:
> Ich meinte, dass ModelSim ab der Version 10.1 unconstrained arraytypen
> unterstützt?
Schon lange tut es das, denn schon ein std_logic_vector ist ein 
unconstrained Array... :-o

Lies mal den Beitrag "VHDL: variable Breite bei (2D)-Array-Ports?"

von Duke Scarring (Gast)


Lesenswert?

Versuch's mal so:
1
library ieee;
2
use ieee.std_logic_1164.all;
3
use ieee.numeric_std.all ;
4
5
package image_stream_pkg is
6
     
7
  -- Imagestream definieren
8
  type t_streamdata is array(natural range <>) of unsigned;
9
10
end package image_stream_pkg;

Duke

von diplomand (Gast)


Lesenswert?

marc schrieb:
> kann mir jemand erklären warum ModelSim(v10.1a)

Ich habs mit ner älteren Version 10.0 probiert und da hat ModelSim noch 
kein VHDL 2008 bzw. nur vereinzelt unterstützt.

Bin dann relativ schnell auf RivieraPro umgestiegen und mit dem komm ich 
viel besser zurecht.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.