hallo zusammen,
kann mir jemand erklären warum ModelSim(v10.1a) mit folgendem Code nicht
zurecht kommt?
1 | library ieee;
|
2 | use ieee.std_logic_1164.all;
|
3 | use ieee.numeric_std.all ;
|
4 |
|
5 | package image_stream_pkg is
|
6 |
|
7 | -- Imagestream definieren
|
8 | type t_streamdata is array(natural range <>) of unsigned(natural range <>);
|
9 |
|
10 | end package image_stream_pkg;
|
Es erscheint folgende Fehlermedlung:
# ** Error: ..image_stream_pkg.vhd(17): near "<>": syntax error
# ** Error: ..image_stream_pkg.vhd(20): VHDL Compiler exiting
Ich meinte, dass ModelSim ab der Version 10.1 unconstrained arraytypen
unterstützt? Oder was mache ich falsch?
Das Design lässt kann ich unter Quartus 11.1 problemlos synthetisieren.
Kann mir jemand weiterhelfen?
Grüsse Marc