Hallo zusammen,
kann mir jemand sagen, wie von einem Top Modul ein Untermodul aufgerufen
werden kann? Mein Top Modul heißt filter.vhd. Von da aus möchte ich
fir_compiler.vhd aufrufen. So wie ich es bisher verstanden habe, muss
ich dafür im Top Modul erstmal
component fir_compiler
port ( clk : in std_logic;
rfd : out std_logic;
rdy : out std_logic;
din : in std_logic_vector(13 downto 0);
dout: out std_logic_vector(13 downto 0)
);
end component;
benutzen und könnte später mit
I0: fir_compiler port map (
din => signalin,
dout => signalout,
clk => clk,
rfd => ready4data,
rdy => ready4takeoff
);
das Untermodul aufrufen. Ich verstehe jedoch nicht, wie ich das ganze
mit dem richtigen .vhd File verknüpfe.
Danke schonmal im voraus!
Micha schrieb: > kann mir jemand sagen, wie von einem Top Modul ein Untermodul aufgerufen > werden kann? Nein, weil Untermodule nicht "aufgerufen", sondern als Komponenten (=Bauteile) in der Hardware "instantiiert" werden. > das Untermodul aufrufen. Vergiss diese Softwaredenkweise und sieh dir mal an, wie ich das Untermodul Clockdivider für das Lauflicht instantiiere: http://www.lothar-miller.de/s9y/archives/61-Lauflicht.html Oder wie ich hier die DDFS mit der PWM zur Sinusausgabe verknüpfe: http://www.lothar-miller.de/s9y/archives/57-Sinusausgabe-mit-PWM.html
Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.