Forum: FPGA, VHDL & Co. Warning: XST:2677


von Tobias (Gast)


Angehängte Dateien:

Lesenswert?

Hallo,

Beim der Synthese des obigen Codes erhalte ich die Fehlermeldungen:
1
WARNING:Xst:2677 - Node <pattern_new_14> of sequential type is unconnected in block <random_cellular>.
2
WARNING:Xst:2677 - Node <pattern_new_13> of sequential type is unconnected in block <random_cellular>.
3
WARNING:Xst:2677 - Node <pattern_new_12> of sequential type is unconnected in block <random_cellular>.
4
WARNING:Xst:2677 - Node <pattern_new_11> of sequential type is unconnected in block <random_cellular>.
5
WARNING:Xst:2677 - Node <pattern_new_10> of sequential type is unconnected in block <random_cellular>.
6
WARNING:Xst:2677 - Node <pattern_new_9> of sequential type is unconnected in block <random_cellular>.
7
WARNING:Xst:2677 - Node <pattern_new_8> of sequential type is unconnected in block <random_cellular>.
8
WARNING:Xst:2677 - Node <pattern_new_7> of sequential type is unconnected in block <random_cellular>.
9
WARNING:Xst:2677 - Node <pattern_new_6> of sequential type is unconnected in block <random_cellular>.
10
WARNING:Xst:2677 - Node <pattern_new_5> of sequential type is unconnected in block <random_cellular>.
11
WARNING:Xst:2677 - Node <pattern_new_4> of sequential type is unconnected in block <random_cellular>.
12
WARNING:Xst:2677 - Node <pattern_new_3> of sequential type is unconnected in block <random_cellular>.
13
WARNING:Xst:2677 - Node <pattern_new_2> of sequential type is unconnected in block <random_cellular>.
14
WARNING:Xst:2677 - Node <pattern_new_1> of sequential type is unconnected in block <random_cellular>.
15
WARNING:Xst:2677 - Node <pattern_new_0> of sequential type is unconnected in block <random_cellular>.
16
WARNING:Xst:2677 - Node <pattern_new_14> of sequential type is unconnected in block <random_cellular>.
17
WARNING:Xst:2677 - Node <pattern_new_13> of sequential type is unconnected in block <random_cellular>.
18
WARNING:Xst:2677 - Node <pattern_new_12> of sequential type is unconnected in block <random_cellular>.
19
WARNING:Xst:2677 - Node <pattern_new_11> of sequential type is unconnected in block <random_cellular>.
20
WARNING:Xst:2677 - Node <pattern_new_10> of sequential type is unconnected in block <random_cellular>.
21
WARNING:Xst:2677 - Node <pattern_new_9> of sequential type is unconnected in block <random_cellular>.
22
WARNING:Xst:2677 - Node <pattern_new_8> of sequential type is unconnected in block <random_cellular>.
23
WARNING:Xst:2677 - Node <pattern_new_7> of sequential type is unconnected in block <random_cellular>.
24
WARNING:Xst:2677 - Node <pattern_new_6> of sequential type is unconnected in block <random_cellular>.
25
WARNING:Xst:2677 - Node <pattern_new_5> of sequential type is unconnected in block <random_cellular>.
26
WARNING:Xst:2677 - Node <pattern_new_4> of sequential type is unconnected in block <random_cellular>.
27
WARNING:Xst:2677 - Node <pattern_new_3> of sequential type is unconnected in block <random_cellular>.
28
WARNING:Xst:2677 - Node <pattern_new_2> of sequential type is unconnected in block <random_cellular>.
29
WARNING:Xst:2677 - Node <pattern_new_1> of sequential type is unconnected in block <random_cellular>.
30
WARNING:Xst:2677 - Node <pattern_new_0> of sequential type is unconnected in block <random_cellular>.
31
WARNING:Xst:2677 - Node <pattern_new_sliced1_-1> of sequential type is unconnected in block <random_cellular>.
32
WARNING:Xst:2677 - Node <pattern_new_sliced1_0> of sequential type is unconnected in block <random_cellular>.

Verwende ich für pattern_new eine Variable anstatt des Signals 
verschwinden die Warnungen. Ich würde gerne trotzdem verstehen weshalb 
ich die Warnungen erhalte. Im Moment kann ich es leider überhaupt nicht 
erkennen.

Danke für eure Hilfe.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Tobias schrieb:
> Verwende ich für pattern_new eine Variable anstatt des Signals
> verschwinden die Warnungen.
Dein Problem liegt woanders. Ich bekomme mit dem geposteten Code das 
hier:
1
Process "Synthesize - XST" completed successfully
2
3
NGDBUILD Design Results Summary:
4
  Number of errors:     0
5
  Number of warnings:   0
6
7
Design Summary:
8
Number of errors:      0
9
Number of warnings:    0
10
11
Placement: Completed - No errors found.
12
Routing: Completed - No errors found.
13
14
Number of error messages: 0
15
Number of warning messages: 0
16
17
Number of warnings: 0
18
Total time: 0 secs 
19
20
Process "Generate Post-Place & Route Static Timing" completed successfully


BTW:
 wait until clk' event and clk = '1';
Das ist (noch) keine steigende Flanke! Es fehlt
   ...and clk'last = '0'...
Kurz: nimm einfach
   rising_edge(clk)

von Tobias (Gast)


Lesenswert?

Vielen Dank für deine Antwort. Werde ich heute abend mal ausprobieren.

Ich bin nur etwas verwirrt, weil es mit wait until clk' event and clk = 
'1';
bislang immer recht gut funktioniert hat ?!

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Tobias schrieb:
> weil es mit wait until clk' event and clk = '1';
> bislang immer recht gut funktioniert hat ?!
Ok, vergiss es, du verwendest (unüblicherweise) bit. Da gibt es nur 2 
Zustände. Und zudem ist dafür die Funktion rising_edge() nicht 
definiert.

Nur bei std_logic mit 9 Zuständen U,X,0,1,Z,W,L,H,- gibt es 8 Übergänge 
nach '1'. Und nur einer davon ist synthetisierbar...
Also: verwende besser std_logic (wie der Rest der Welt) und 
rising_edge()...

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.