Forum: FPGA, VHDL & Co. VHDL Coding Style


von August (Gast)


Lesenswert?

Moin,

was haltet ihr davon innerhalb von VHDL-Submodulen ganze Datenstrukturen 
wie z.B. 2-D Arrays statt reiner std logic Vectoren über Ports rein- und 
rauszuführen? Absolut ok oder no-go? Wie sieht damit in großen Firmen 
aus?

von P. K. (pek)


Lesenswert?

Oder auch records, oder arrays of records. Unbedingt. Das schöne an 
solchen "Kabelkanälen" ist, dass sie nur an einer einzige Stelle 
(Package) geändert werden müssen, wenn ein neues Kabel (sprich Signal) 
dazukommt oder gelöscht wird. Kein lästiges Ändern durch alle 
Instanzen... ;-)

von Duke Scarring (Gast)


Lesenswert?

August schrieb:
> Wie sieht damit in großen Firmen
> aus?
Kommt auf die Firma an.
Tendenziell: je länger die im Geschäft sind, desto unflexibler mit den 
zulässigen Konstrukten.

Duke

von berndl (Gast)


Lesenswert?

Duke Scarring schrieb:
> Kommt auf die Firma an.
> Tendenziell: je länger die im Geschäft sind, desto unflexibler mit den
> zulässigen Konstrukten.

Wobei, wenn man nicht gerade den 'pointy haired boss' hat, es immer 
leichter wird (mit steigender Komplexitaet) die 'normalen' MA zu 
ueberzeugen es doch zu benutzen

von user (Gast)


Lesenswert?

kommt drauf an welche asic tools das vhdl prozessieren soll

von Autsch (Gast)


Lesenswert?

>Oder auch records, oder arrays of records.


Wenn man Records verwendet, dann sollte man in den Modulen unbedingt In- 
und Out-Records unterscheiden. Wenn man stattdessen beide Richtungen in 
einem Record-Port zulässt (inout), dann muss man höllisch aufpassen!

Außerdem sollte man schauen, ob die tollen Array-Konstruktte sowohl vom 
Simulator als auch von Synthese (und Chipscope, Reveal, Signaltap und 
Kollegen) unterstützt werden. Was bringt es Dir, wenn alles im Simulator 
kompiliert aber nicht synthetisiert werden kann...

Das Verwenden neuer Konstrukte hat nichts mit der Größe einer Firma zu 
tun, sondern mit der Sturrheit alt Eingesessener ;-)


Autsch

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.