Guten Morgen liebes Forum,
ich habe eine Frage zum Typ Unsigned.
Folgender Aufbau:
1 | architecture xxx of yyy is
|
2 | signal count: unsigned (11 downto 0) := (others => '0');
|
3 | begin
|
4 |
|
5 | process(clk)
|
6 | begin
|
7 | if rising_edge(clk) then
|
8 | if (enable = '1') then
|
9 | if(count < 10) then
|
10 | .... dann mache irgendwas
|
11 | end if;
|
12 | end if;
|
13 | count <= count + 1;
|
14 | end if;
|
15 |
|
16 | end process
|
17 |
|
18 | end Behavioral;
|
Nun möchte ich jedoch die Zählweite ausserhalb des Prozesses definieren.
Also nicht mehr
sondern
1 | if (count < var_cnt) then
|
haben. Wie definiere ich nun var_cnt bzw. als welchen Datentyp (soll
synthesefähig sein)?
1 | signal var_cnt: unsigned (5 downto 0) := 64;
|
?
oder
wäre es auch möglich, nur eine Konstante vom Typ Integer oder vielleicht
Natural zu nehmen? (da ich ja auch nur 10 im if Zweig schreibe) ?
Sonnige Grüße,
hans