Forum: Mikrocontroller und Digitale Elektronik Anhang an mein altes Thema: ''Lottozahlengenerator''


von Engin D. (compilelogic)


Lesenswert?

Da das Thema geschlossen wurde müsste ich hier mal noch ein paar Dinge 
klarstellen.

1. Ich habe dieses Thema nicht erst heute morgen bekommen,sondern vor 2 
Wochen.

2. Ich bin bestimmt kein fauler Schüler der hier seine Lösung möchte und 
dann auf der faulen Haut sitzen möchte. Ich habe mir schon meinen Kopf 
eine Woche lang zerbrochen mit meinen alten Unterlagen,die ich noch von 
meinem letzten Schuljahr besitze.

3. Das Internet (bzw. ein Forum) zu befragen war meine Letzte Notlösung 
die mir eingefallen ist,deswegen kam dieser Eintrag (also das 
geschlossene Thema) auch so spät.

4. Ich finde echt eine FRECHHEIT wie hier neue Mitglieder behandelt 
werden. Darüber solltet ihr euch mal Gedanken machen.

von Dennis (Gast)


Lesenswert?

Engin D. schrieb:
> Ich finde echt eine FRECHHEIT wie hier neue Mitglieder behandelt
> werden. Darüber solltet ihr euch mal Gedanken machen.

Ja, da muss ich dir zum Teil Recht geben. Dein Thema hast du halt 
uunklug begonnen...so hat es wirklich den Beigeschmack, dass du deine 
Aufgabe eben mal von irgendwem anders gelöst haben möchtest.

Du solltest zumindest deine eigenen Gedanken preisgeben. Ohne eigenes 
Zutun wird dir keiner helfen wollen, denn das ist das Fragen nach einer 
Lösung, die auch "bis morgen" realisierbar sein muss, weil der 
Abgabetermin ansteht.

Werd doch mal etwas präziser: Wie willst du es anstellen? Musst/willst 
du das ganze mit einem uC programmieren, oder reicht dir auch einfache 
Logik?

Was sind deine bisherigen Gedanken/Lösungsansätze?

von Hans O. (Gast)


Lesenswert?

Och, jetzt ist der Faulpelz auch noch beleidigt...

von Engin D. (compilelogic)


Lesenswert?

Es ist so. Ich mache es mit einem Partner. Er muss in mit uC machen und 
ich mit Logik. Ich muss heute nur das Zustandsdiagramm abgeben das habe 
ich schon. Mein Problem ist wie ich es realisiere,dass der 10erzähler 
sich dann erhöht,wenn beim 1er-Zähler es von der 9 wieder auf die 0 
springt. 9 = 1001b
und dann wieder auf 0000b ändert sich das höchste bit genau einmal von 1 
auf 0 im Zustandsdiagramm. D.h. ich müsste doch bei dem Signal wenn es 
umspringt ein flankengesteuertes FF benutzen was dann den 10erZähler 
aktiviert (neg. flankengesteuert) nur für das höchste bit. das wäre z.Z. 
meine überlegung

von Edson (Gast)


Lesenswert?

Engin D. schrieb:
> Ich finde echt eine FRECHHEIT wie hier neue Mitglieder behandelt
> werden. Darüber solltet ihr euch mal Gedanken machen.

Du musst jetzt nicht gleich Alle über einen Kamm scheren.

Dennis schrieb:
> Ja, da muss ich dir zum Teil Recht geben. Dein Thema hast du halt
> uunklug begonnen...so hat es wirklich den Beigeschmack, dass du deine
> Aufgabe eben mal von irgendwem anders gelöst haben möchtest.

Das mag ja sein, es ist aber weder nötig noch wünschenswert oder gar 
zielführend, dass jedesmal Kollektiv in die gleiche Kerbe geholzt wird. 
Einfach nicht antworten und vor allem Denjenigen, die antworten wollen 
(die haben vielleicht auch gute Gründe warum sie das tun), nicht den 
Thread zumüllen. Dieses ganze Hilfe-zur-Selbsthilfe-Gedöns ist doch zu 
90% dem Umstand geschuldet, dass manch Einer gar keine qualifizierte 
Antwort zum Thema abgeben kann, oder?

von Engin D. (compilelogic)


Lesenswert?

Hans O. schrieb:
> Och, jetzt ist der Faulpelz auch noch beleidigt...

genau das meine ich meine Damen und Herren GENAU DAS! Ich verstehe es 
einfach nicht wie jemand so sein kann,ganz ehrlich. Und mich noch als 
Faulpelz zu bezeichnen gibt echt den Rest.

von Karl H. (kbuchegg)


Lesenswert?

Engin D. schrieb:
> Da das Thema geschlossen wurde müsste ich hier mal noch ein paar Dinge
> klarstellen.
>
> 1. Ich habe dieses Thema nicht erst heute morgen bekommen,sondern vor 2
> Wochen.

Du reitest dich nur noch weiter rein.

In 2 Wochen hast du es nicht geschafft, 2 Zähler zu kaskadieren und mit 
einem 555 einen Takt draufzulegen, der mit einem Schalter zu bzw. 
weggeschaltet werden kann?

von Dennis (Gast)


Lesenswert?

Logik:

Schau dir doch mal den CD4026 
http://www.ti.com/lit/ds/symlink/cd4026b.pdf  an. Der macht doch genau 
das, was du suchst. Mit dem Carry-Ausgang gehst du auf den nächsten für 
die zweite Stelle.

Du musst doch jetzt nurnoch die Ausgänge so mit Reset verbinden, dass 
lediglich die gewünschten Zahlen erscheinen, also das ganze bei einer 49 
auf 0 springt.

Den Zufall kannst du mit einem 555er machen, indem du eine Taste 
drückst, der 555 Clock-Pulse rausgibt und beim Loslassen wieder aufhört. 
Schon hast du deine Zufallszahl, da niemand gleichlang einen Taster 
drücken kann.

Das wars schon.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Engin D. schrieb:
> D.h. ich müsste doch bei dem Signal wenn es
> umspringt ein flankengesteuertes FF benutzen was dann den 10erZähler
> aktiviert (neg. flankengesteuert) nur für das höchste bit.
Warum so kompliziert?
Ich würde zwei Dekadenzähler (CD4026) hintereinanderschalten und dann 
zwei BCD-nach-7Segment-Decoder dahinter. Fertig...

> Mein Problem ist wie ich es realisiere,dass der 10erzähler
> sich dann erhöht,wenn beim 1er-Zähler es von der 9 wieder auf die 0
> springt. 9 = 1001b
Der CD4026 macht das mit einem Carry-Out Ausgang ganz einfach.
Bei Conrad gibts sogar einen Schaltplan dafür:
http://www2.produktinfo.conrad.de/datenblaetter/175000-199999/195200-an-01-de-Lottozahlengenerator_mit_Digitalanzeige.pdf

Leicht zu finden mit
https://www.google.de/search?q=lottozahlengenerator+schaltplan

Engin D. schrieb:
> 4. Ich finde echt eine FRECHHEIT wie hier neue Mitglieder behandelt
> werden. Darüber solltet ihr euch mal Gedanken machen.
Engin D. schrieb:
> Hans O. schrieb:
>> Och, jetzt ist der Faulpelz auch noch beleidigt...
> genau das meine ich meine Damen und Herren GENAU DAS!
Du solltest dir eine dickere Haut zulegen. Solche Zeitgenossen leben auf 
der selben Erde, in der selben Stadt wie du und arbeiten später evtl. 
sogar mit dir zusammen...

von Mw E. (Firma: fritzler-avr.de) (fritzler)


Lesenswert?

Na dann lad das Diagramm doch mal hoch.

von Dennis (Gast)


Lesenswert?

Nachtrag: "0" sollte er wohl nicht anzeigen. Die ist beim Lotto nicht 
dabei (glaube ich, habe noch nie Lotto gespielt).

von Karl H. (kbuchegg)


Lesenswert?

> Mein Problem ist wie ich es realisiere,dass der 10erzähler
> sich dann erhöht,wenn beim 1er-Zähler es von der 9 wieder auf
> die 0 springt. 9 = 1001b

Wie wäre es mit einem Vergleicher, der die 4 AUsgansgbits des 
Einer-Zählers ständig mit 10 vergleicht und wenn 10 erreicht ist dann
* gibt er einen Puls an den Zehner-Zähler
* resettet er den Einer-Zähler

Achtung: Das kann man auch kombinieren!
Denn wenn der Vergleicher eine 1 auswirft für "sind gleich" und gleich 
darauf den Einer-Zähler auf 0 resettet, dann sind die Ausgänge eben 
nicht mehr gleich und der Vergleicher Ausgang fällt ganz von alleine 
wieder auf 0 zurück. -> ein Puls ist entstanden. Der Puls ist nicht 
lang, gerade so lange wie der Vergelicher Gatterlaufzeiten hat bzw. der 
Zähler zum Reset braucht. Aber das macht ja nix. Der Puls muss nur lang 
genug sein, dass
a) der Einer-Zähler resettet (und das ist trivialerweise erfüllt)
b) der Zehner Zähler um 1 weitergezählt hat.

von Dennis (Gast)


Lesenswert?

Lothar Miller schrieb:
> Ich würde zwei Dekadenzähler (CD4026) hintereinanderschalten und dann
> zwei BCD-nach-7Segment-Decoder dahinter.

Der ist ja unnötig, der 4026 erzeugt doch schon richtige Ziffern.

von Martin Hirsekorn (Gast)


Lesenswert?

Engin D. schrieb:
> Und mich noch als
> Faulpelz zu bezeichnen gibt echt den Rest.

Mann, so schwer ist es doch nicht. Wenn du es mit Logic-ICs machen 
willst, kannst du z.B 4510 BCD-Zähler und einen 4511 
BCD-to-7Segment-Decoder pro Digit nehmen und mit nem 555er takten. Schau 
z.B. mal auf http://www.doctronics.co.uk/4510.htm, da bekommst du es 
fast schon mundgerecht serviert. Ein klein wenig eigenes Denkvermögen 
ist natürlich auch da von Vorteil...

von Martin Hirsekorn (Gast)


Lesenswert?

Nachtrag: 4026 ist natürlich noch besser, weil der 7Segment-Decoder 
schon drin ist. Hab lange nix mehr mir einzelnen ICs gemacht...

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Dennis schrieb:
> Der ist ja unnötig, der 4026 erzeugt doch schon richtige Ziffern.
Ach stimmt...
Aber dafür ist es nicht so einfach, die Doppelnull wegzubekommen.
Da wäre ein ladbarer Binärzähler besser.

von Dennis (Gast)


Lesenswert?

Lothar Miller schrieb:
> Da wäre ein ladbarer Binärzähler besser.

Da haste recht!

von Engin D. (compilelogic)


Lesenswert?

Hat sich erledigt,kann geschlossen werden.

von Wegstaben V. (wegstabenverbuchsler)


Lesenswert?

Engin D. schrieb:
> Hat sich erledigt,kann geschlossen werden.

wunderbar. Magst du uns deine Lösung vorstellen?

von Engin D. (compilelogic)


Lesenswert?

Ich habe 2 Zähler entwickelt (das wovon ihr da oben Redet mit 4026 usw. 
damit haben wir uns nicht SO befasst,deswegen konnte ich da nicht 
mitreden):
einen einerzähler der von 0 bis 9 zählt
einen zehnerzähler der von 0 bis 4 zählt

der zehnerzähler springt immer dann eins hoch,wenn der einerzähler von 
der 9 auf die 0 springt.

Der Einerzähler läuft mit dem Systemtakt und der Zehnerzähler erhält 
seinen Takt vom letzten FF des Einerzählers.

war eig. gar nicht so schwer,man musste nur altes Wissen 
wiederherstellen ,was manchmal gar nicht so einfach ist... ;)

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Und wie geht das mit 00 als Zählerstand?

von Mw E. (Firma: fritzler-avr.de) (fritzler)


Lesenswert?

Stellt sich nurnoch die Frage wie zufällig viele Impulse ins system 
kommen?

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.