Forum: FPGA, VHDL & Co. AnfängerFrage


von DareDevil (Gast)


Lesenswert?

Ich stecke bei folgenden Punkt leicht fest, deshalb diese kleine Frage 
und zwar
Was kann ich machen damit Synthese grünes licht gibt..

Also der Code unten soll nur ungefähr meine Überlegung gerade darstellen
1
entity jungle is 
2
  Port (a: in bit; b: out bit); 
3
end jungle
4
5
architecture Behavioral of jungle is
6
  procedure bla(a: in bit; signal b: out bit) is 
7
  begin
8
    b <= '0';
9
  end procedure bla;
10
  procedure tree...
11
begin
12
  myProcess: process(a)
13
  begin 
14
    case a is
15
      when '0' => bla(a,b);
16
      when '1' => tree(a,b);
17
    end case;
18
  end process myProcess;
19
end Behavioral;
WARNING: The following signal is missing in the process sensitivity 
list: b.

.

von Duke Scarring (Gast)


Lesenswert?

Ändere
DareDevil schrieb:
> myProcess: process(a)
zu
1
myProcess: process(a, b)

Duke

von Franke (Gast)


Lesenswert?

btw: der synthese sind sensitivity lists egal, die betreffen nur die 
simulation. Deswegen gabs auch nur ne WARNING und keinen ERROR.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

DareDevil schrieb:
> procedure bla(a: in bit; signal b: out bit) is
>    ....
> begin
>   myProcess: process(a)
>   begin
>     case a is
>       when '0' => bla(a,b);
>       when '1' => tree(a,b);
Warum gibt mir diese Funktionsaufruferei so extrem zu denken?
Kann es sein, dass du bisher hauptsächlich Software programmiert hast?

von DareDevil (Gast)


Lesenswert?

@Duke Scarring: Wo ich es gestern so eingegeben habe wie du meinst hat 
es mir ein Error ausgegeben.

Da finde ich die Aussage von Franke passender. Es ist ja kein Fehler 
sondern einfach nur eine Warnung, aber wenn mir Synthese keinen grünen 
hacken gibt ist es doch nicht schlimm, oder ?

@Lothar Miller: Du hast es auf dem Punkt getroffen.

von Duke Scarring (Gast)


Lesenswert?

DareDevil schrieb:
> Wo ich es gestern so eingegeben habe wie du meinst hat
> es mir ein Error ausgegeben.
Dann gibt bitte hier den kompletten Quelltext (als Anhang) und die 
genaue Fehlermeldung an. Mit dem richtigen Quelltext läßt sich das 
Problem auch nachvollziehen.

> nur ungefähr meine Überlegu....
Das hilft da nicht wirklich.

Duke

von DareDevil (Gast)


Lesenswert?

Danke. Es hat sich schon erledigt und den meisten reicht ein vergleich 
aus man muss nicht unbedingt immer den richtigen Code posten.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.