Forum: Mikrocontroller und Digitale Elektronik Attiny 261A diff-ADC an GND o.k.?


von Horst. S. (Gast)


Lesenswert?

Ich möchte eine (kleine) Spannung messen, nun mit GND Bezug. Ist es o.k. 
den differential ADC auf einer Seite direkt mit GND zu verbinden? Ich 
glaube schonmal von Problemen gehört zu haben.. Im DB kann ich hierzu 
nichts finden und auf dem Breadboard scheint es erstmal zu klappen.
Was sagen die Profis?

von Horst. S. (Gast)


Lesenswert?

push

von Eumel (Gast)


Lesenswert?

pop

von Matthias S. (Firma: matzetronics) (mschoeldgen)


Lesenswert?

Horst. S. schrieb:
> Im DB kann ich hierzu
> nichts finden und auf dem Breadboard scheint es erstmal zu klappen.
> Was sagen die Profis?

Ich weise das mit dem Profi weit von mir, wir wissen aber, das ein 
SingleEnded ADC Eingang bis auf Masse runtergeht. Es kommt also darauf 
an, ob der eingebaute Opamp ein Rail-to-Rail Typ ist, bzw. ob die 
Eingänge bis auf GND runtergehen dürfen. Für mein 
Thermoelement-Lötkolben Projekt (Tiny25/45/85) habe ich dem Frieden 
nicht so recht getraut und deswegen die Eingänge leicht auf 1/10 Vcc 
hochgezogen, wenn aber dein Steckbrett Aufbau gut klappt, sollte es doch 
gehen.
Teste also gründlich an den Grenzen von Vcc und GND und dann los.

von MWS (Gast)


Lesenswert?

Horst. S. schrieb:
> Im DB kann ich hierzu nichts  finden

Im DB ist der Eingangsspannungsbereich mit: GND / AVcc und "Vdiff must 
be below Vref" angegeben.

Das gibt die Limits vor, eine gegenüber GND negative Eingangsspannung 
ist damit nicht möglich, damit wird die Auflösung des ADC's auf die 
Hälfte des Maximalen beschränkt, d.h. nur der positive Anteil ist 
nutzbar.

Die Differentialeingänge können dabei gleich GND werden, das können sie 
im Normalbetrieb ja auch. Damit ist eine direkte Verbindung mit GND 
zulässig.

Du kannst sie sogar beide auf GND legen :D

von Horst. S. (Gast)


Lesenswert?

Danke. Ich werde lieber mal einen Widerstand zwischen GND und Shunt im 
Layout vorsehen. Kann ihn ja zur Not mit 0 Ohm bestücken.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.