Forum: FPGA, VHDL & Co. QuartusII(13.0) CycloneII nCEO-Pin als User-I/O freigeben


von Josef G. (bome) Benutzerseite


Lesenswert?

Habe ein DE1 Board und möchte den SD-Kartenslot verwenden. Dazu brauche
ich den Pin nCEO = W20 des CycloneII. Das ist ein Dual Purpose Pin, der
in Quartus als Programming-Pin voreingestellt ist.

Wie kann man diesen Pin als User-I/O Pin freigeben?

Nach vergeblichen Versuchen habe ich das gefunden:
http://quartushelp.altera.com/11.1/mergedProjects/comp/comp/comp_tab_dp_dual-purpose.htm

Es ist anscheinend so, dass diese Freigabe nur mittels
eines tcl-Kommandos möglich ist. Die entscheidende Stelle
auf der verlinkten Seite im Abschnitt Scripting Information:

> Keyword: reserve_nceo_after_configuration
> Settings:  "use as regular io"

Mein Problem: Null Ahnung von tcl. Bisher habe ich es nur geschafft,
in Quartus ein Fenster zur Eingabe von tcl-Kommandos zu öffnen. Das
Wort "reserve_nceo_after_configuration" ist selbst aber
offensichtlich kein tcl-Kommando.

Wäre für Hilfe dankbar.
Josef G.

von Ich (Gast)


Lesenswert?

Steht doch da:



You open this page by clicking Dual-Purpose Pins in the Device and Pin 
Options dialog box in the Device dialog box.

von Josef G. (bome) Benutzerseite


Lesenswert?

Ich schrieb:
> Steht doch da:

Natürlich habe ich diese Seite geöffnet. Das Problem ist, dass auf der
Seite nur die Voreinstellung des Pins als Programming-Pin angezeigt
wird, aber keine Möglichkeit vorgesehen ist, die Einstellung zu ändern.
Da steht nur, dass die Voreinstellung abhängt von der Einstellung
des Programming-Modus auf der Seite Device. Dort habe ich alle
verfügbaren Einstellungen durchprobiert, ohne Erfolg.

von Michael F. (mfuhrmann)


Lesenswert?

???

Ich hab jetzt mal unter Quartus 13.0-Web einen EP2C20F484C8 in einem 
08/15-Projekt ausgewählt (keine Ahnung, was konkret auf dem DE1 ist) und 
da kann ich folgendes einstellen:

Quartus => Assignments => Device => Device and Pin Options => 
Dual-Purpose Pins => nCEO => Doppelklick auf "Use as programming pin" => 
Use as regular I/O

:-)

von Sigi (Gast)


Lesenswert?

In der "Device and Pin Options"-Dialogbox steht:

 ...
 nCeo       Use as programming pin
 ...

Den Eintrag "Use as  programming pin" einfach doppelklicken
(Pulldown-Box erscheint) und dann "use as regular I\O"
selektieren.

von Josef G. (bome) Benutzerseite


Lesenswert?

@ Michael Fuhrmann
@ Sigi

SuperSuperDanke! Funktioniert.
Ich hatte bisher nur einfache Klicks probiert.

Habe aber zusätzlich noch folgendes festgestellt:
Man muss nach dem Ändern der Einstellung das Projekt schließen
und neu öffnen. Wenn man stattdessen gleich die Synthese startet,
arbeitet sie noch mit dem voreingestellten Wert und man bekommt
nach wie vor die Fehlermeldung zum Pin W20.

Schönen Sonntag!
Josef G.

von Sigi (Gast)


Lesenswert?

Bei meinen DevKits verwende ich solche Pins regelmässig
als User-IO, kann mich aber nicht daran erinnern das
Projekt schliessen und gleich wieder öffnen zu müssen.

Btw.: durch die Auswahl "User-IO" wird ein Eintrag in
deinem QSF-File generiert, aber erst wenn du das Projekt
schliesst. Beim Neuöffnen wird dann dieses QSF wieder
eingelesen und die Projekt-internen Einstellungen
entsprechend gesetzt. Das müsste aber auch schon beim
Schliessen der Dialog-Box geschehen, .. hm seltsam?

Gruss

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.