Forum: FPGA, VHDL & Co. Sequentielle Ausführung in einem kombinatorischen VHDL Prozess?


von Guy N. (Firma: Student) (lechristiano)


Lesenswert?

Hallo,
ich möchte wissen, ob die Code-Zeilen in einem kombinatorischen Prozess 
sequentiel ausgeführt werden? Ich dachte sie wären alle paralell 
ausgeführt aber dann würde ich das folgende Beispiel nicht verstehen :
(1) "v.zero" z.B. wird zuerst auf '0' dann in einer if-Anweisung auf '1' 
gesetzt.
(2) Ebenso wird zuerst v, r zugewiesen, dann werden dessen Variablen 
andere Werte zugewiesen.

wie ist das denn zu verstehen?

Mit freundlichen Grüßen
Christian
1
architecture twoproc of count8 is
2
   type reg_type is record
3
      load : std_logic;
4
      count : std_logic;
5
      zero : std_logic;
6
      cval : std_logic_vector(7 downto 0);
7
   end;
8
9
   signal r, rin : reg_type;
10
begin
11
   comb : process(d, r) -- combinational process
12
      variable v : reg_type;
13
   begin
14
     v := r; -- default assignment
15
     v.load := d.load; v.count := d.count; -- overriding assignments
16
     v.zero := 0;
17
     if r.count = 1 then v.cval := r.val + 1; end if; -- module algorithm
18
     if r.load = 1 then v.cval := d.data; end if;
19
     if v.cval = "00000000" then v.zero := 1; end if;
20
     rin <= v; -- drive register inputs
21
     q.dout <= r.cval; q.zero <= r.zero; -- drive module outputs
22
   end process;
23
24
   regs : process(clk) -- sequential process
25
   begin
26
     if rising_edge(clk) then r <= rin; end if;
27
   end process;
28
end;

von pks (Gast)


Lesenswert?

Für das Beispiel v.zero bedeutet das: Wenn die if-Bedingung erfüllt ist, 
wird '1' zugewiesen, wenn nicht '0'.

von user (Gast)


Lesenswert?

Lies dir mal den Unterschied zwischen Signalen und Variablen durch in 
einem VHDL Buch deines Vertrauens

von Guy N. (Firma: Student) (lechristiano)


Lesenswert?

Danke sehr.

Gott segnet euch!

von Rosa-Kleidchen (Gast)


Lesenswert?

>Gott segnet euch..
Schreib das naechste mal:
Die Evolutionslenkerin dankt es Euch...
Rosa

von Guy N. (Firma: Student) (lechristiano)


Lesenswert?

@ Rosa-Kleidchen:
> Schreib das naechste mal:
> Die Evolutionslenkerin dankt es Euch...
> Rosa

Wieso "Evolutionslenkerin" und nicht GOTT? Es ist doch alles dank GOTT 
oder?

MfG
Christian

von Duke Scarring (Gast)


Lesenswert?

Schreib das nächste mal einfach nur:
Danke sehr.

Ich - als orthodoxer Atheist - brauche auch keine Segnung von Gott oder 
sonstwem.

Duke

von Olga (Gast)


Lesenswert?

Duke Scarring schrieb:
> Schreib das nächste mal einfach nur:
> Danke sehr.
>
> Ich - als orthodoxer Atheist

Ach, und alle Welt muss sich nach außen hin als Atheist geben, oder wie? 
Wenn du keine Segnung brauchst, ok. Aber zeig mal genausovielTolleranz 
wie du sich von anderen Glaubensrichtungen auch einforderst.

von Duke Scarring (Gast)


Lesenswert?

Olga schrieb:
> Ach, und alle Welt muss sich nach außen hin als Atheist geben, oder wie?
Nein, aber in diesem Forum werden nur Probleme niederer OSI-Layer [1] 
diskutiert.
Für den Rest gibt es andere Foren.

Duke

[1] http://de.wikipedia.org/wiki/Layer_8

von Trundle T. (shaheed)


Lesenswert?

+ 1 Duke

von FPGA-Entwickler (Gast)


Lesenswert?

Ich halte die Frage des E ohnehin für eine theoretische Konstruktion, 
die es bei sachlicher Analyse nicht gäbe. Prozesse sind etwas virtuelles 
und werden immer sequenziell ausgeführt. Würde der TE mal die unützen 
Variablen weglassen sähe er direkt(er) was sich tut.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.