Forum: FPGA, VHDL & Co. std_logic_vector Spiegeln


von MemY (Gast)


Lesenswert?

Hallo,

ich hab nochmal eine kleine Frage: Gibt es eine einfache Moeglichkeit 
einen Vektor zu spiegeln?

Mein Ansatz ist sehr schreibaufwendig:
1
INPUT_VECTOR_GESPIEGELT(0) <= INPUT_VECTOR(47);
2
INPUT_VECTOR_GESPIEGELT(1) <= INPUT_VECTOR(46);
3
INPUT_VECTOR_GESPIEGELT(2) <= INPUT_VECTOR(45);
4
INPUT_VECTOR_GESPIEGELT(3) <= INPUT_VECTOR(44);
5
INPUT_VECTOR_GESPIEGELT(4) <= INPUT_VECTOR(43);
6
INPUT_VECTOR_GESPIEGELT(5) <= INPUT_VECTOR(42);
7
INPUT_VECTOR_GESPIEGELT(6) <= INPUT_VECTOR(41);
8
.
9
.
10
.
11
INPUT_VECTOR_GESPIEGELT(47) <= INPUT_VECTOR(0);

Danke schonmal,
Gruß MemY

von Alexander F. (alexf91)


Lesenswert?

1
for i in 0 to 47 loop
2
   INPUT_VECTOR_GESPIEGELT(i) <= INPUT_VECTOR(47-i);
3
end loop;

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

MemY schrieb:
> ich hab nochmal eine kleine Frage:
> Gibt es eine einfache Moeglichkeit einen Vektor zu spiegeln?
Mal eine Gegenfrage: wofür brauchst du das?

Denn "wie herum" ein Vektor dargestellt wird, das ist in erster Linie 
eh' nur ein Gedankenmodell für dich. Bestenfalls bei Berechnungen mit 
dem Vektor hat das Auswirkungen. Wenn du davor oder danach sowieso den 
Vektor noch manipulierst, dann kann auch der Trick dort (unten, beim 
Smiley) schon helfen:
http://www.lothar-miller.de/s9y/archives/63-RC-5-Empfaenger.html

: Bearbeitet durch Moderator
von MemY (Gast)


Lesenswert?

@ Alexander F: Danke


@ Lothar Miller
> Mal eine Gegenfrage: wofür brauchst du das?
>
> Denn "wie herum" ein Vektor dargestellt wird, das ist in erster Linie
> eh' nur ein Gedankenmodell für dich. Bestenfalls bei Berechnungen mit
> dem Vektor hat das Auswirkungen.

Das ist natuerlich richtig. Allerdings muss ich mit den Daten eine 
kleine Rechnung vollziehen. Daher muessen die Gewichtungen der einzelnen 
Bits im Vektor stimmen.

Gruß MemY

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.