Forum: FPGA, VHDL & Co. VHDL - Buchempfehlung


von BAHRO (Gast)


Lesenswert?

Guten Tag,
ich würde gerne in die Welt der VHDL einsteigen und möchte euch Experten 
fragen ob ihr mir einen Buch empfehlen könnt, was mir den Einstieg in 
dieser Welt erleichtern kann.
Besten Dank!

von Mario (Gast)


Lesenswert?

Es gibt zwar hier viele Threads "kennt jemand ein VHDL-Buch" hier und 
eine Suchfunktion hat diese Site auch, aber vielleicht könnte man hier 
den aktuellen Stand zusammentragen.
Besonderen Augenmerk bitte auf Lehrbücher mit etwas Tiefgang lenken.
Danke

von Sönke P. (snke_p)


Lesenswert?

Für den Einstieg:
"A VHDL Synthesis Primer" von Bhasker (BSP?)
"VHDL Programming by Example" von Perry (McGraw Hill)

Für die Vertiefung:
"VHDL-Synthese" von Reichardt, Schwarz (Oldenbourg Verlag)

Und natürlich http://www.lothar-miller.de (thx, Lothar!)

von FPGA-Vollprofi (Gast)


Lesenswert?

Sönke Peters schrieb:
> Für die Vertiefung:
> "VHDL-Synthese" von Reichardt, Schwarz (Oldenbourg Verlag)
Bitte nicht immer dieses angebliche Standardbuch.

von Leonard Lebewohl (Gast)


Lesenswert?

>> Für die Vertiefung:
>> "VHDL-Synthese" von Reichardt, Schwarz (Oldenbourg Verlag)
>Bitte nicht immer dieses angebliche Standardbuch.


Ist es aber im deutschsprachigen Raum mangels praxisgerechter 
Alternativen (leider).

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

BAHRO schrieb:
> ich würde gerne in die Welt der VHDL einsteigen
In die VHDL-Welt oder in die Welt der programmierbaren Logik?
Denn bestenfalls 10% von VHDL eignen sich für ein CPLD/FPGA...

FPGA-Vollprofi schrieb im Beitrag #3395208:
> Bitte nicht immer dieses angebliche Standardbuch.
Ja, welches deutsche Buch denn sonst?

Und man darf bei VHDL-Literatur Peter Ashenden mit "The Designers Guide 
to VHDL" nicht vergessen...

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.