Forum: FPGA, VHDL & Co. Problem beim Erstellen eines NOR-Elements in VHDL


von student 08{15 (Gast)


Lesenswert?

Hallo Leute,

ich habe ein Problem beim Erstellen eines NOR-Elements mit den Eingängen 
A und B. Hoffe, mir kann Jemand helfen.
Hier ist mein Code. Leider funktioniert es nicht, ich weiß aber nicht 
warum...

Gruß,
student 08/15
1
library ieee;
2
use ieee.std_logic_1164.all;
3
4
entity nor2 is
5
6
  port(
7
  A, B: in std_logic;
8
  Y: out std_logic
9
  );
10
11
end entity nor2;
12
13
14
architecture behavior of nor2 is
15
16
begin
17
  main: process(A,B) is
18
  
19
  begin
20
    Y <= A nor B;
21
  
22
  end process main;
23
24
end architecture behavior

von Christoph Z. (christophz)


Lesenswert?

student 08{15 schrieb:
> Leider funktioniert es nicht, ich weiß aber nicht
> warum...

Was funktioniert nicht?

Was für Fehlermeldungen bekommst du Fehlermeldungen?

Was hast du versucht um deinen Code zu testen?

von student 08/15 (Gast)


Lesenswert?

Ich habe den Code abgespeichert und dann kam diese Fehlermeldung
Es wird eine ganze Fehlerliste angezeigt:


E:/Studium/1. Semester/Digitaltechnik/Diamond_Projects/Nor2.vhd(7,7-7,9) 
ERROR: (VHDL-1261) syntax error near in
E:/Studium/1. 
Semester/Digitaltechnik/Diamond_Projects/Nor2.vhd(17,18-17,19) ERROR: 
(VHDL-1223) b is already declared in this region
E:/Studium/1. 
Semester/Digitaltechnik/Diamond_Projects/Nor2.vhd(11,1-11,4) ERROR: 
(VHDL-1261) syntax error near end
E:/Studium/1. 
Semester/Digitaltechnik/Diamond_Projects/Nor2.vhd(14,19-14,21) ERROR: 
(VHDL-1261) syntax error near is
E:/Studium/1. 
Semester/Digitaltechnik/Diamond_Projects/Nor2.vhd(14,14-14,16) ERROR: 
(VHDL-1261) syntax error near of
E:/Studium/1. 
Semester/Digitaltechnik/Diamond_Projects/Nor2.vhd(1,1-26,1) ERROR: 
(VHDL-1283) unexpected EOF
E:/Studium/1. 
Semester/Digitaltechnik/Diamond_Projects/Nor2.vhd(1,1-26,1) ERROR: 
(VHDL-1283) unexpected EOF

von ul5255 (Gast)


Lesenswert?

hinter

Y: out std_logic

vielleicht noch ein Semikolon machen?

von Christian R. (supachris)


Lesenswert?

ul5255 schrieb:
> vielleicht noch ein Semikolon machen?

Quatsch! Da kommt keins hin. Wohl aber in die letzte Zeile ans Ende. 
Dann kompiliert es auch.

von student 08/15 (Gast)


Lesenswert?

Oh, ist das bitter!!!

Stimmt, ich hab das Semicolon vergessen.

Tut mir leid, euch mit sowas die Zeit geraubt zu haben.


Vielen Dank, jetzt tut es.


lg student 08/15

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.