Forum: FPGA, VHDL & Co. Reduktion von Warnings in Xilinx ISE


von Georg B. (georgbauer)


Lesenswert?

Hi,

ich bin ein noch ziemlicher Anfänger in VHDL, was größere Projekte 
angeht.

Fügt man mehrere Blöcke zusammen und hat noch kein komplett fertiges 
Projekt, kommt es oft zu einem Haufen Warnings. Hier sieht man schnell 
den Wald vor lauter Bäumen nicht mehr, bzw. man übersieht so die 
Wichtigen.

Methode A: Man schaut nur nach gewissen "wichtigen" Schlagwörtern. Die 
typischen wären so, wie ich rausgefunden habe:
1
Timing Score (nach par)
2
Unwanted Latches (WARNING:Xst:737)
3
Unassigned signals (WARNING:Xst:653)
4
Combinatoric loops (WARNING:Xst:2170)
5
Gated Clocks (WARNING:PhysDesignRules:372 [bitgen])

Methode B: (was ich mir wünschen würde) Ich versuche ISE irgendwie 
beizubringen, dass ich diese eine Warning akzeptiere. Beim Nächsten 
Synthese-Prozess möchte ich die dann nicht mehr sehen. Jetzt die Frage, 
wie lässt sich Methode B umsetzen?

Als Beispiel für die Warnings, um die es geht: Klassiker wie "Assignment 
to xxx ignored, since the identifier is never used" oder "Node xxx of 
sequiential type is unconnected in block yyy"

Vermutlich lassen sich solche Warnngs auch global ausschalten. Sprich 
"Zeige mir folgende Warnings nicht mehr an". Ich würde gerne diese 
Prfung nicht global ausschalten, sondern NUR für ein Netz/Pfad 
deaktivieren. Geht das?

Vielen Dank schonmal!

Grüße Georg

von Duke Scarring (Gast)


Lesenswert?

Georg Bauer schrieb:
> Vermutlich lassen sich solche Warnngs auch global ausschalten. Sprich
> "Zeige mir folgende Warnings nicht mehr an". Ich würde gerne diese
> Prfung nicht global ausschalten, sondern NUR für ein Netz/Pfad
> deaktivieren. Geht das?
Meines Wissens nach kann man die Warnungen von ISE nur global wegfiltern 
lassen.

Es gibt noch eine Methode C:
VHDL-Code zu schreiben der keine Warnungen verursacht ;-)

Duke

von Georg B. (georgbauer)


Lesenswert?

Mhmm, die Methode C ist natürlich sehr sportlich. Eigentlich ist es ein 
reines Faulheitsproblem.
Ich kann natürlich innerhalb meines Testprojektes alles so schreiben, 
dass keine Warnings auftauchen. Bloß hab ich dann einen Stand der der 
späteren Implementierung so ganz und gar nicht entspricht. Bzw. muss ich 
ziemlich viel abändern, dass ich das "warnungslos" synthetisieren kann. 
Ich will mir eigentlich den Aufwand nicht machen, später alles 
umzuschreiben. Also reine Faulheit.
Schön wäre ein Kommando an den Synthesiser: "Gib mir für den Pfad xyz 
keine Warnung für z.B. 'unconnected blocks' aus." Später, möchte ich das 
Projekt abschließen, deaktiviere ich diese Synthesizer-Kommandos.

Naja, Wünsche darf man ja haben. Wird sich nächste Weihnachten zeigen, 
ob diese in Erfüllung gehen.

Danke Duke für diene Antwort ;)

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.