Forum: FPGA, VHDL & Co. Signal einer Komponente zuweisen


von Tim S. (169)


Lesenswert?

Hallo,
ich weise einer Komponente ein Signal zu, das im Design nicht 
beschrieben wird! Allerdings liest die Komponente dieses Signal. Was 
wird nun bei der Synthese passieren?
Hoffe ich habe mich verständlich ausgedrückt :)
Vielen Dank.

von daniel__m (Gast)


Lesenswert?

Das steht üblicherweise im synthese-Report.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Tim S. schrieb:
> ich weise einer Komponente ein Signal zu, das im Design nicht
> beschrieben wird! Allerdings liest die Komponente dieses Signal. Was
> wird nun bei der Synthese passieren?
Der Initialwert des Signals wird auf die Komponente übertragen und dort 
fest verdrahtet. Von aussen gesehen wird das Signal und alle 
nachfolgenden dadurch konstanten Pfade "herausoptimiert".

von Tim S. (169)


Lesenswert?

Den Initialwert kann ich im Deklarationsteil setzen oder muss es in 
einem Process geschehen?

: Bearbeitet durch User
von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Tim S. schrieb:
> Den Initialwert kann ich im Deklarationsteil setzen oder muss es in
> einem Process geschehen?
Welche Zielplattform?

von Tim S. (169)


Lesenswert?

Altera De0Nano. Meinst du das.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Tim S. schrieb:
> Altera De0Nano. Meinst du das.
Nicht ganz. Die Zielplattform ist das FPGA selbst.
Aber die Altera FPGAs sind SRAM basiert und weil das FPGA beim PowerUp 
sowieso geladen werden muss, kannst du Init-Werte bei der Deklaration 
angeben.

von Uwe (Gast)


Lesenswert?

> das im Design nicht beschrieben wird
Wo kommt denn das Signal her ?
Ein Signal das keine Quelle hat wird wohl wegoptimiert. Und wenn dann 
die Komponente keine Funktion mehr hat kann die auch gleich mit weg.
Also irgendeine Quelle muss das Signal haben.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.