Hallo,
Ich möchte in VHDL einen Modulo 10 Zahler entwerfen.
Er soll beim Übertrag (Zählerstand 10) einen Ausgang setzen.
Problem ist nun dass Modelsym nicht richtig compiliert.
Wenn ich ihn meinen VHDL code compilieren lasse, bringt er in der
Statuszeile den Fehler:
# Compile of count10.vhd failed with 1 errors.
Wenn ich dann darauf klicke zeigt er im extra Fenster 4 errors:
** Error: C:\Users\Ich\Desktop\Modelsym\count10\count10.vhd(16): near
"'": syntax error
** Error: C:\Users\Ich\Desktop\Modelsym\count10\count10.vhd(18): near
"IF": expecting THEN
** Error: C:\Users\Ich\Desktop\Modelsym\count10\count10.vhd(20): near
"ELSE": expecting END
** Error: C:\Users\Ich\Desktop\Modelsym\count10\count10.vhd(21): near
"'": syntax error
Da mein Code so aussieht:
1 | library IEEE;
|
2 | USE IEEE.STD_LOGIC_1164.all;
|
3 | USE IEEE.std_logic_unsigned.all;
|
4 |
|
5 | entity count10 is
|
6 | Port (clk, enable, reset: IN STD_LOGIC;
|
7 | c10: out STD_LOGIC);
|
8 | end count10;
|
9 |
|
10 | architecture Behavorial of count10 is
|
11 | signal ist,folge : std_logic_vector(3 downto 0);
|
12 | signal folgec10 : std_logic;
|
13 | BEGIN
|
14 | komb: PROCESS(ist, reset, enable) IS
|
15 | BEGIN
|
16 | IF (reset = '0') THEN folge <= '0000';
|
17 | ELSIF (enable = '1')
|
18 | IF (folge = '1001') THEN folge <= '0000';
|
19 | folgec10 <= '1';
|
20 | ELSE folgec10<='0';
|
21 | folge <= ist + '0001';
|
22 | END IF;
|
23 | ELSE Folge <= ist;
|
24 | END IF;
|
25 | END PROCESS;
|
26 |
|
27 | Hallo welt
|
28 | End Process;
|
29 |
|
30 | speicher: PROCESS(clk) IS
|
31 | BEGIN
|
32 | IF rising_edge(clk) THEN ist <= folge;
|
33 | END IF;
|
34 | END PROCESS
|
35 |
|
36 | ausgabe: Process(folgec10, enable) IS
|
37 | BEGIN
|
38 | c10 <= folgec10;
|
39 | END PROCESS ausgabe;
|
40 | END ARCHITECTURE Behavorial;
|
Und dort die worte "Hallo Welt" und das End PROCESS bestimmt nicht
hereingehören.
Gehe ich davon aus das er etwas anderes compiliert.
Denn alle 4 errors sind in anderen Zeilen.
Nur warum?
Das erste mal als ich ihn verwendete stimmte die angezeigte Anzahl der
Fehler in der Statuszeile mit der Anzahl der Fehler im dann angezeignten
Fensterchen überein.
Als 2. frage ich mich wie ich Code gezielt in Modelsysm (in den Modelsym
internen Editor) eingeben kann.
Das ist mir einmal gelungen, nur weiß ich nicht warum.
Wenn ich im Modelsym Projekt-Fenster auf meine datei Kliche wir sie
immer im Windows-Editor geöffnet.
Dort sehe ich weder zeilenzahlen, noch habe ich Syntax highlighting
und muss immer mit mehreren Fenstern rumhantieren.
Dass macht die Sache nicht grade Leichter.
grüße
Matze