Forum: FPGA, VHDL & Co. Umstieg Vivado


von Student (Gast)


Lesenswert?

Guten morgen. Ich habe im Studium sowie in der Studienarbeit in ISE ein 
Projekt in VHDL umgesetzt. Mir sind die Begriffe ucf-File, Timing 
Constraints, IPCores bekannt. Nun soll ich in einer weiteren Arbeit auf 
Vivado umsteigen. Ein komplett anderes Projekt. Von Seiten der reinen 
VHDL-Beschreibung nicht das größte Problem.

Meine Fragen zum Umstieg auf Vivado:

- Ist der Umstieg sehr zeitintensiv (wie beschrieben nur mit ISE 
gearbeitet)?
- Vivado basiert auf der grafischen Beschreibung, richtig?
- Die "Blöcke" kann ich trotzdem mit "VHDL-Code" beschreiben, richtig?
- Das ucf-File wurde ersetzt, richtig?
- Wie sieht es mit Bugs aus? Das Tool ist ja noch "relativ" neu.

Danke für eure Auskunft.

von Christian R. (supachris)


Lesenswert?

Student schrieb:
> Meine Fragen zum Umstieg auf Vivado:
>
> - Ist der Umstieg sehr zeitintensiv (wie beschrieben nur mit ISE
> gearbeitet)?

Nö, das geht schon. Neu sind die "runs" die werden aber automatisch 
angelegt. Der grüne Knopf für Implement ist geblieben. Der Ablauf ist 
quasi der gleiche. Synthese -> Implement -> Write Bitstream.
Den Bitsream auf die Hardware bringen und auch ChipScope ist auch drin, 
Flash Files müssen aber nach wie vor mit Impact erzeugt werden. Das ist 
bei Vivado nicht dabei. Also entweder ISE nebenher installieren oder die 
LabTools.

> - Vivado basiert auf der grafischen Beschreibung, richtig?

Nö, ich bin mir nicht mal sicher, ob es Schematics überhaupt noch gibt. 
Ich mach alles komplett in VHDL.

> - Die "Blöcke" kann ich trotzdem mit "VHDL-Code" beschreiben, richtig?

Ja, siehe oben.

> - Das ucf-File wurde ersetzt, richtig?

Ja, ist jetzt xdc, andere Syntax, aber auch keine Raketenwissenschaft. 
Man kann über den Umweg über Planahead auch ucf in xdc wandeln. Ist aber 
eher bescheiden. Ich hab mir dazu ein Python Script geschrieben.

> - Wie sieht es mit Bugs aus? Das Tool ist ja noch "relativ" neu.

Tja, Xilinx und Bugs. Naja, geht eigentlich, bisher hab ich noch nix 
größeres gefunden. Bei den IPs gibts immer mal Ungereimtheiten.

von Student (Gast)


Lesenswert?

Danke für die Informationen. Ich werde es einmal ausprobieren.

von Student (Gast)


Lesenswert?

Hab ein wenig ausprobiert. Datei anlegen, Bit-Stream erzeugen 
funktioniert super.

Noch ein paar Frage:

- Gibt es die Option ein SDK Projekt aus Vivado heraus zu öffnen? (ich 
möchte ein elf-File erzeugen (hello_world.c etc.) und mit dem 
Vivadoprojekt verknüpfen.

von Christian R. (supachris)


Lesenswert?

Damit kenn ich mich nicht aus. Für solche akademischen Spielchen fehlt 
uns die Zeit und die Anwendung ;)

von Cle (Gast)


Lesenswert?

z.B. rechtsklick auf dein BlockDesign unter Sources und "Export Hardware 
for SDK"?

von Holger H. (holger-h-hennef) Benutzerseite


Lesenswert?

Student schrieb:
> Meine Fragen zum Umstieg auf Vivado:
Link:
http://www.xilinx.com/training/vivado/

Gruss Holger.
Fazit:
Das Board Support Package ist die Teil-Basis, damit das so "einfach"
via BlockDesign da geht.
Timing:
https://www.youtube.com/watch?v=BO59ZRWJ-_U

BlockDesign:
https://www.youtube.com/watch?v=SiaPqEsPmR8

von Holger H. (holger-h-hennef) Benutzerseite


Lesenswert?

Xilinx HLS #1: Smartcard Reader (Vivado High Level Synthesis)

https://www.youtube.com/watch?v=yHUTok7Y6CQ&list=PLyAXNQGte3qPkrzFtrhgBvvTiDVRd7t08
######################################################################## 
##
https://www.youtube.com/user/colinpoflynn
----------------------------------------------------------------------
Damit kann man via Vivado C Code in HDL RTL Code wandeln.

Gruss Holger.

: Bearbeitet durch User
Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.