Forum: Platinen EAGLE - ERC Fehler (Anfänger)


von Marcel S. (derschlangen)


Angehängte Dateien:

Lesenswert?

Hallo zusammen ich bin es mal wieder :-)

Ich habe nun an meiner Schaltung rumgebaut und habe den im Screenshot 
abgebildeten Fehler erhalten. Ich kann da auch nicht weiter was zu sagen 
weil mir das genau nichts sagt, leider.
Ist dieser Fehler relevant? Was muss ich machen um ihn zu beheben und am 
wichtigsten, was bedeutet er eigentlich?

Ich bedanke mich für eure Antworten.

von Wolfgang A. (Gast)


Lesenswert?

Marcel Schlangen schrieb:
> Was muss ich machen um ihn zu beheben und am wichtigsten, was bedeutet
> er eigentlich?

1) U2 benötigt an Pin2 eine Versorgungsspannung und du hast dort kein 
Signal angeschlossen, was von einem Pin mit Typ SUPPLY kommt.

2+3) Am Netz +3V3 hast du SUPPLY-Pins und OUTPUT-Pins gemeinsam 
angeschlossen. Ein Ausgang darf aber normalerweise nicht an ein 
Versorgungsspannungssignal angeschlossen werden.

Um die Fehler zielgerichtet zu beheben, müßte man erstmal wissen, wie du 
deine Bauelemente definiert hast und wo der Fehler liegt. Deinem 
Screenshot mit den abgeschnittenen Warnmeldungen sieht man das nicht an. 
Du müßtest also schon deine sch-Datei als Anhang posten.

p.s. Falls du dich wunderst, das dein Screenshot so unscharf ist: Das 
JPEG Format ist denkbar ungeeignet für Liniengraphiken. PNG wäre das 
Format der Wahl. (s. Bildformate)

von Marcel S. (derschlangen)


Angehängte Dateien:

Lesenswert?

Hallo
Ich hab das noch nicht so ganz verstanden. Kann man Pins an Bauteilen 
Attribute geben was sie sind? Von der Schaltungstechnik sollte das 
eigentlich richtig sein den es sind die Standartschaltungen aus den 
Datenblättern.
Habe dort über USB eine Ladeschaltung aufgebaut und da hinter soll der 
3,3V Regler liegen um 3,3V für diverse Schaltungsbauteile die noch 
kommen zu erzeugen.
Dies ist mein erstes Eagle Projekt und ich versuche mir daran die 
Grundlagen von Eagle beizubringen also Entschuldigung für die ein oder 
andere Frage.

Ich habe mal, wie gewünscht, dass Projekt angehangene und hoffe das ihr 
nicht zu viele andere Fehler gleich mit findet.

P.S.: Die Fehlermeldung mit PIN EPAD habe ich bereits behoben, ich habe 
vergessen eine Groundverbindung zu legen.

: Bearbeitet durch User
von DerSchlangen (Gast)


Lesenswert?

Hat den evtl. jemand ein gutes Tutorial (egal in geschrieben oder als 
Video) welches sich mit diesem Problem beschäftigt?

von eagle user (Gast)


Lesenswert?

Marcel Schlangen schrieb:

> Ist dieser Fehler relevant?

Zunächst mal solltest du alle Warnungen (und Fehler sowieso) ernst 
nehmen, in den meisten steckt ein Körnchen Wahrheit.

> Kann man Pins an Bauteilen Attribute geben was sie sind?

Ja, und in diesem Fall ist der Reglerausgang VOUT als Ausgang definiert 
-- naheliegend, aber so ein Ausgang ist doch etwas anderes als ein 
Digitalausgang. Und Eagle kennt nur letztere. Der Pin sollte als "pas" 
(passive) definiert sein, dann darf er mit allem verbunden sein. "pwr" 
würde auch keine Fehlermeldung geben, aber pwr ist für die 
Versorgungspins von ICs gedacht, irgendwie genau das Gegenteil von VOUT.

Das heisst jetzt nicht, dass man einfach alle Pins "pas" definieren 
sollte. Wäre das hier kein Spannungsregler, wäre die Meldung ja absolut 
nützlich. Auch wenn diese Eigenschaften mit digitaler Logik am besten 
funktionieren, sollte man z.B. den Soft-Start-Pins eines Reglers als 
Eingang definieren. Dann gibt es eine Warnung, wenn man vergisst, ihn 
anzuschließen.

Diese Einstellungen findet man beim Pin des Schaltzeichens in der 
Bibliothek unter "Direction" (wegen "in" vs "out"). "Attribute" kennt 
Eagle auch, das sind frei definierbare Name/Value-Paare, die man fast 
überall anhängen kann, die aber keinen Einfluss auf die Schaltung oder 
das Layout haben.

von Marcel S. (derschlangen)


Lesenswert?

Danke, diese Erklärung hat mein Problem gelöst!

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.