Forum: FPGA, VHDL & Co. Wie werden bitte Integer in einem Array angeben?


von peter (Gast)


Lesenswert?

Wie werden Integer bitte in diesem Array geschrieben ?
Hex ist klar. Aber dezimal...?
...1,3,4,5... das wird als Fehler gemeldet.
1
type ram_type is array (0 to 255) OF integer range 0 to 255 ; 
2
signal ram : ram_type :=(

Danke.
Gruss

von Duke Scarring (Gast)


Lesenswert?

peter schrieb:
> Wie werden Integer bitte in diesem Array geschrieben ?
Schau in Dein VHDL-Buch oder in der Hilfe zu Deinem Synthesewerkzeug.

Duke

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

peter schrieb:
> ...1,3,4,5... das wird als Fehler gemeldet.
Und zwar als welcher GENAU?
Bei mir geht das tadellos...
1
  type ram_type is array (0 to 5) OF integer range 0 to 255 ; 
2
  signal ram : ram_type :=(12,34,56,78,90,10);

von Schlumpf (Gast)


Lesenswert?

Lothar Miller schrieb:
> Bei mir geht das tadellos

bei mir auch... :-)

von peter (Gast)


Lesenswert?

Jup, danke.

Mein Purebasicprogramm  hat einen zu wenig eingesetzt, jetzt 
funktioniert es.

Danke.
Gruss

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

peter schrieb:
> Mein Purebasicprogramm  hat einen zu wenig eingesetzt
Diese Information war sicher in der unbekannten Fehlermeldung,
von der peter schrieb:
>>>> das wird als Fehler gemeldet.

von Schlumpf (Gast)


Lesenswert?

Lothar Miller schrieb:
> Diese Information war sicher in der unbekannten Fehlermeldung,
> von der peter schrieb:

Jup, davon kannst du ausgehen.
Aber ist zu anstrengend, das zu lesen.

von peter (Gast)


Lesenswert?

-------------------------
Diese Information war sicher in der unbekannten Fehlermeldung,
--------------------------

Jup, das war sie auch.

Bloss vor der Textmeldung standen aufgelistet die ganzen 9600 Bytes als 
"000010000...vom unsigned aus dem Array abgebildet in einer Reihe, da 
hab ich dann aufgehört den Schieberegler weiter nach rechts zu schieben, 
wo sie dann am Ende der 9600 abgebildeten Bytes stand.

Gruss

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.