hi
ich habe ein einfaches problem und zwar möchte ich entweder mit dem
code:
1 | data_out(4 to 7) <= "1000";
|
oder dem code:
1 | data_out(0 to 3) <= data_in(0 to 3);
|
(beide zusammen ein 8 bit großen bus beschreiben.
Deklaration:
1 | signal data_in : std_logic_vector(3 downto 0);
|
2 | data_out : out std_logic_vector(7 downto 0);
|
einfach nur die bits ausgeben, aber altera mag das einfach nicht.
Fehler: Error (10484): VHDL error at ...: range direction of object
slice must be same as range direction of object
warum?