Forum: FPGA, VHDL & Co. FPGA Einstiegshilfe für alte Altera Flex 8000 insbes. EPF8820A gesucht


von Frederik S. (sfrederik)


Lesenswert?

Hi,
habe hier 24 Altera EPF8820A im 144 Pin TQFP Gehäuse. Gerne würde ich 
mir da ein mini Dev-/Eval-Board mit je einem (oder notfalls mehreren) 
Chips entwerfen. Mir ist klar, dass die Flex 8000 / 8K Serie „etwas“ alt 
ist, und es sicherlich besseres gibt. Trotzdem würde ich die 
(gebrauchten) FPGAs ungerne verkommen lassen. Verkauf ist also keine 
Option, und ich würde damit „kleinere“ Spielereien umsetzen wollen. 
(viele I/Os, einfacher Ersatz für ein paar gerade nicht vorhandene 
Logikchips o.ä.). Kommt etwas Sinnvolles dabei raus, soll das Board im 
Zielprojekt verbleiben können.

Nun zu meiner Frage: Bisher habe ich nicht viele Infos zum Aufbau einer 
solchen Miniplatine gefunden. Klar ist es ohne konkrete Aufgabe nicht 
ganz einfach. Aber die Datenblätter haben mir bisher nicht viel 
weitergeholfen. Gibt es für diese alte Altera Serie ein „Kompendium“, 
oder muss man mit dem Leben, was es bei Altera zu dem Thema gibt? Ich 
bin schon zu doof, das Pinout aus dem Datenblatt richtig zu verstehen. 
Ich finde dort zwar Namen der PINs aber nicht so ohne weiteres eine 
Erklärung, was nun genau wie genutzt werden soll.

Ich habe mal aus der ganzen Reihe die einzelnen Chips gesucht. Es gibt 
z.B. einen bei eBay auf einer Soundkarte, einen russischen Z80 Clon, 
dann habe ich sogar den Hinweis auf ein Evaluation Board gefunden:
http://rod.info/mirror/freecore/Albert%20Lindmeier%27s%20Prototyping%20Board%20for%20FLEX%208000%20Devices.htm
Das hört sich (wenn auch für einen anderen Typ) recht gut an. Aber ob 
der Herr erreichbar ist und noch so etwas wie einen Schaltplan zu dem 
Projekt hat? Jedenfalls schwebt mir so etwas ähnliches vor. Kann mir da 
jemand den einen oder anderen Tipp zu geben? Vielen Dank.

Gruß Frederik

Einige Datenblätter und App-Notes:

ftp://ftp.altera.com/pub/lit_req/document/ds/dsf8k.pdf
ftp://ftp.altera.com/pub/lit_req/document/ds/dsconf.pdf
ftp://ftp.altera.com/pub/lit_req/document/an/an033_03.pdf
ftp://ftp.altera.com/pub/lit_req/document/an/an036_02.pdf

von Frederik S. (sfrederik)


Lesenswert?

Habe hier noch den Hinweis auf ein weiteres Dev-Board gefunden:

http://www.netsoft.com.au/freecore/Development%20Boards.htm


Gruß Frederik

von P. K. (pek)


Lesenswert?

Frederik S. schrieb:
> Mir ist klar, dass die Flex 8000 / 8K Serie „etwas“ alt
> ist, und es sicherlich besseres gibt. Trotzdem würde ich die
> (gebrauchten) FPGAs ungerne verkommen lassen.

Wirf das Zeugs weg und kauf Dir ein günstiges FPGA DevKit der neueren 
(neuesten) Generation. Das Kopfweh, welches Du durch nicht benötigtes 
Einrichten alter Toolchains etc. einsparst, sind die Kosten für was 
"modernes" wert.

von Sebastian (Gast)


Lesenswert?

Solche Klassiker sind noch relativ einfach - bei den modernen sind schon 
die Abblockkondensatoren eine Wissenschaft für sich. Viel mehr als 
Spannungsversorgung und Oszillator brauchen diese Chips nicht, und die 
Gehäuseform ist prima zu handhaben. Das Programmierinterface ist eine 
Art JTAG, das ByteBlaster-Kabel kann man sich selbst bauen, wenn man 
noch einen Parallelport hat, oder aus der Bucht fischen.

Die Software ist eine andere Baustelle. Quartus II unterstützt die Flex 
8000 nicht mehr. Eventuell geht diese Max+Plus Version: 
https://www.altera.com/support/support-resources/download/legacy/maxplus2/dnl-baseline.html

(Es empfiehlt sich, die "supported devices" genau zu überprüfen.)

Die Entwickler der Development Boards anzuschreiben ist eine gute Idee. 
Ich habe schon positive Erfahrungen gemacht, was die Hilfsbereitschaft 
von Leuten angeht, die Schaltungen online veröffentlichen.

Generell ist der FPGA-Einstieg steinig, ob mit alter oder neuer 
Hardware. Ein gutes Buch - vielleicht ggf. antiquarisch - kann auch 
helfen.

von Frederik S. (sfrederik)


Lesenswert?

Hi P. K. (pek),

hab ich vermutet, dass das vermutlich die wirtschaftlichste Lösung ist. 
Aber Hobby und Wirtschaftlichkeit passen i.d.R. eh nicht zusammen. Und 
wenn ich mir ein einfaches Dev Board zusammenklöppel lernt man zumindest 
auf der Seite noch etwas. Dauert natürlich länger, bis man sich mit dem 
FPGA selbst beschäftigen kann. Ich bleibe noch dran, aufgeben kann ich 
ggf. später noch. Trotzdem danke für den Rat.

Gruß Frederik

von Frederik S. (sfrederik)


Lesenswert?

Hi Sebastian,

danke für die Antwort. Ich hatte schon befürchtet, mein Text war einfach 
zu wirr und lang :) Gut, das ist er trotzdem.

Inzwischen hab ich mich noch etwas weiter eingearbeitet. Ich habe einen 
der USB Blaster Clones hier. Manche schreiben, der sollte gehen. Kann 
natürlich sein, dass der mit der Max+Plus Version nicht zusammenspielt. 
Schnittstellen sollten kein Problem sein, zur Not baue ich mir einen 
halbwegs schnellen alten PC dafür zusammen. Dauert das synthetisieren 
halt länger.

Da die Frequencen nicht ganz so hoch werden, gehe ich auch davon aus, 
dass es ohne große Tricks halbwegs funktioniert. Ich war anfangs halt 
etwas von der Vielzahl an Programmiermöglichkeiten überfragt. Gerne 
würde ich ja eine Möglichkeit vorsehen, wenn der FPGA über JTAG das 
macht, was er soll, die Programmierung auf der Platine dauerhaft 
abzulegen. Momentan schwebt mir da ein günstiger Mikrokontroller vor, 
der das Programm "huckepack" in seinen Flash übernimmt (vllt. von USB 
Mass Storage Device, oder auch JTAG oder wie auch immer) und dann beim 
Starten an den FPGA übergibt. Geht natürlich auch auf einige andere 
Arten.

Der Tipp mit dem Buch ist eine sehr sinnvolle Idee, die ich definitiv 
umsetzen werde. Ich war bisher halt davon ausgegangen, dass es von 
Altera noch ein "Master Datenblatt" gibt, was ich nur nicht gefunden 
habe. Oder sie haben es halt mal gelöscht, weil zu alt. Offensichtlich 
war es damals aber ein sehr elitärer Kreis, der sich mit diesen Chips 
beschäftigt hat und solche Unterlagen nicht nötig hatte :)

Danke.

Gruß Frederik

von Sebastian (Gast)


Lesenswert?

Gerne, kein Problem.

Ich vermute, der Altera-Chip wird - wie in der AppNote 033 erwähnt - mit 
einem externen (E)Eprom konfiguriert. Das kann man eventuell auf dem 
Dev-Board gleich mit vorsehen.

Mit den Datenblättern komplexer Bausteine ist das so: Die Struktur des 
Bauteils wird erklärt, aber nicht das, was man damit machen kann - 
schließlich erklärt ein Mikrocontrollerdatenblatt auch nicht, wie man 
programmiert. Für Max+Plus gibt es eventuell eine Anleitung. Der Rest 
tatsächlich nur mit Tutorials im Netz und guter Literatur. FPGA war 
früher für Bastler ein Randthema, nicht viele haben sich damit 
beschäftigt, und der Profi fragte dann halt einen FAE von Altera o.ä.

von Frederik S. (sfrederik)


Lesenswert?

Hi nochmal,

so scheint mir das eben auch, war damals vermutlich auch ein teures 
Vergnügen und damit nichts zum Spielen. Das nicht alle Möglichkeiten 
erklärt werden ist klar, dafür gibt es einfach zuviele Kombinationen. 
Aber mal eine Beispielanwendung, oder zumindest eine Grundbeschaltung 
hätte ich schon vermutet. Aber das muss man wohl - wie Du schon 
andeutest, aus anderen Quellen zusammensuchen.

Ich werde wohl bei Zeiten mal etwas auf einem Veroboard 
rumexperimentieren. Wenn es sinnvolles dabei herauskommt, wird es 
natürlich veröffentlicht. Auch wenn das Interesse gering sein dürfte :)

Gruß Frederik

von Sigi (Gast)


Lesenswert?

Auf keinen Fall wegschmeissen! Für Hobbyanwendungen
ist z.B. die 5V-Toleranz interessant.

Tools: Schau mal bei Quartus bis 9.1. Ich hatte letztes
Jahr selbst noch ein FLEX-Bloard (war aber glaube ich
kein 8000er), der sich ohne Probleme mit einem USB-Blaster
bzw. Clone proggen lies. Funktioniert glaube ich sogar
noch unter Windows7.

Beschaltung: Schau einfach mal nach FLEX/ACEX/etc. nach.
Die sind alle ähnlich beschaltet, mithilfe der Schaltungen
und den 8000er-Datasheets lässt sich eine einfache
Beschaltung zusammenstellen. Evtl. lässt sich ja auf
EPROMs verzichten und ausschliesslich per JTAG konfigurieren.

von Frederik S. (sfrederik)


Lesenswert?

Hi Sigi,

das mit der 5 Volt Thematik hab ich auch schon gelesen. Ist natürlich 
ärgerlich, wenn man einen schönen modernen und viel schnelleren FPGA hat 
und dann sich mit Levelshiftern rumärgern muss :)

Danke auch für den Tipp, nach anderen Reihen zu gucken. In einem 
gewissen Suchradius hab ich das schon gemacht, sollte ich vllt. noch 
etwas ausdehnen.

Für Tests kann man natürlich erstmal nur mit JTAG anfangen. Ich hätte 
halt gerne gleich eine Möglichkeit, das ganze als Standalone 
weiterlaufen zu lassen. Da bin ich halt noch am Knobeln, was einfach, 
praktisch und nicht zu letzt günstig ist. EPROM und mit UV wollte ich 
mir jetzt nicht antun. Mal schauen, was es wird.

Sollte es mit Windows 7 doch Probleme giben, gibt es eine VM oder wie 
schon gesagt einen älteren Rechner.

Gruß Frederik

von Murmelchen (Gast)


Lesenswert?

Hallo Frederik,

den USB-Blaster Clone hast Du jetzt ja schon.

Dazu würde ich Dir auch noch das recht bekannte EP2C5T144C8N Mini Board 
mit Cyclone II FPGA vom Chinesen deines Vertrauens empfehlen. Das gibt 
es(mit etwas Warterei) schon ab 15 EUR. (Es gibt auch noch etwas teure 
Boards mit mehr Peripherie zum Lernen und Testen. Das ist aber nicht 
unbedingt nötig.)

Da die auf dem Mini Board verwendeten Cyclone II FPGAs auch auf den 
bekannten DE1 und DE2 Entwicklungsboards von Altera bzw. Terasic verbaut 
sind, findest Du dazu auch viel Literatur und Unterstützung im Netz.


Damit hast Du dann in Verbindung mit Quartus II (bis 13.0 SP1) erst mal 
eine funktionierende Plattform, in die Du dich einarbeiten kannst. Im 
Hinblick auf deinen Zielbaustein solltest Du Quartus II 9.0 verwenden. 
Das ist die letzte Version, welche die Flex 8000 Reihe noch unterstützt. 
Die Version läuft auf jeden Fall unter XP, sollte aber auch noch unter 
Vista oder Win 7 gehen.

Zurück zum Mini Board: Damit sind dann auch so schöne Sachen wie die 
folgenden möglich:

http://searle.hostei.com/grant/uk101FPGA/index.html

http://searle.hostei.com/grant/Multicomp/index.html


Für das Mini Board findest Du dort auch einen Schaltplan, mit dessen 
Hilfe Du auch eine Schaltungslösung für deine Bausteine finden solltest. 
Aber, und das ist der große Vorteil des 'Umwegs' über das Mini Board, Du 
hast damit erst mal eine von Anfang an laufende Lösung, welche den sonst 
möglichen und wahrscheinlichen Kampf an mehreren Fronten vermeidet.

Unter

http://www.pyroelectro.com/category/fpga/

gibt es noch weitere Einführungen und auch Bastellösungen z. Bsp. für 
die Max 7000A Reihe und einen Parallelport Blaster.


Mit besten Grüßen

Frank

von Frederik S. (sfrederik)


Lesenswert?

Hi Frank,

das ist ein sehr guter Vorschlag. Ich informiere mich ja vorab, weil ich 
genau das vermeiden möchte. Nicht funktionierende Hardware und dann die 
Software nicht zum Laufen bekommen :) Debugging im Quadrat.

Danke auch für Deine ausführliche Erläuterungen in den Einstieg. 
Momentan bin ich ja noch am Evaluieren, bin aber guter Dinge, das 
zumindest etwas davon funktionieren wird. Das von Dir empfohlene Mini 
Board hab ich mir als Basis auch schon angesehen. Da ich ja noch keine 
konkrete Anwendung hab, möchte ich so wenig wie möglich Hardware auf der 
Platine, um lieber Erweiterungsplatinen zu machen. Also nur das drauf 
was für einen Betrieb Notwendig ist und aus Signalgründen direkt auf der 
Platine sein sollte. Da meine Flex 8k "relativ" klein sind, dachte ich 
auch schon an etwas externen SRAM. Kann man ja vllt optional bestückbar 
gestalten. Genauso wie ein EEPROM. Oder (u)SD. Aber das könnte dann wohl 
auch wieder eher auf Zusatzplatinen.

Nochmal danke auch für die Links, da hab ich einiges zu lesen. Sieht 
jedenfalls sehr interessant aus.

Gruß Frederik

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.