1 | library IEEE;
|
2 | use IEEE.STD_LOGIC_1164.ALL;
|
3 | use IEEE.NUMERIC_STD.ALL;
|
4 |
|
5 | -- Uncomment the following library declaration if using
|
6 | -- arithmetic functions with Signed or Unsigned values
|
7 | --use IEEE.NUMERIC_STD.ALL;
|
8 |
|
9 | -- Uncomment the following library declaration if instantiating
|
10 | -- any Xilinx leaf cells in this code.
|
11 | --library UNISIM;
|
12 | --use UNISIM.VComponents.all;
|
13 |
|
14 | entity logic is
|
15 | Port ( clk : in STD_Logic;
|
16 | dout : out STD_LOGIC;
|
17 | schalter : in STD_LOGIC);
|
18 | end logic;
|
19 |
|
20 | architecture Behavioral of logic is
|
21 |
|
22 | signal blau : STD_LOGIC_VECTOR(23 downto 0) := x"000055";
|
23 | signal gruen : STD_LOGIC_VECTOR(23 downto 0) := x"550000";
|
24 | signal kugel : STD_LOGIC_VECTOR(23 downto 0) := x"FFFFFF";
|
25 | signal rot : STD_LOGIC_VECTOR(23 downto 0) := x"005500";
|
26 | signal aus : STD_LOGIC_VECTOR(23 downto 0) := x"000000";
|
27 | variable clk2ctr_int : integer;
|
28 | signal clk2:STD_LOGIC;
|
29 | signal clk2ctr : unsigned (13 downto 0) ;
|
30 | signal clk32: STD_LOGIC;
|
31 | signal clkctr : unsigned(2 downto 0) := (others => '0');
|
32 | signal in_data_phase : std_logic := '0';
|
33 | signal bit_count : unsigned(10 downto 0) := (others => '0');
|
34 | signal count : unsigned(11 downto 0) := (others => '0');
|
35 | signal data : std_logic_vector(24*38-1 downto 0) := (others => '0');
|
36 |
|
37 | begin
|
38 | process(clk)
|
39 | begin
|
40 | if rising_edge(clk) then -- clk von 32 Mbits bestimmen
|
41 | if clkctr = 3 then
|
42 | clk32 <= '1';
|
43 | clkctr <= (others=> '0');
|
44 | else
|
45 | clk32<='0';
|
46 | clkctr <= clkctr+1;
|
47 | end if;
|
48 | else
|
49 | end if;
|
50 |
|
51 |
|
52 |
|
53 | end process;
|
54 |
|
55 |
|
56 | process(clk32)
|
57 | begin
|
58 | if rising_edge(clk32) then
|
59 | if in_data_phase = '1' then
|
60 | if count < 11 then
|
61 | dout <= '1';
|
62 | count <= count+1;
|
63 | elsif count < 29 then
|
64 | if data(data'high) = '1' then
|
65 | dout <= '1';
|
66 | else
|
67 | dout <= '0';
|
68 | end if;
|
69 | count <= count+1;
|
70 | elsif(count < 39) then
|
71 | dout <= '0';
|
72 | count <= count+1;
|
73 | else
|
74 | dout <= '0';
|
75 | count <= (others => '0');
|
76 | if bit_count = data'high-1 then
|
77 | in_data_phase <= '0';
|
78 | else
|
79 | bit_count <= bit_count + 1;
|
80 | data <= data(data'high-1 downto 0) & '0';
|
81 | bit_count <= bit_count+1;
|
82 | end if;
|
83 | end if;
|
84 | else
|
85 | -- send the reset signal (50us of low)
|
86 | dout <= '0';
|
87 | if count=1599 then
|
88 | -- if clk2ctr = 1000 then
|
89 | -- data <= rot & rot& rot &rot ;
|
90 | -- clk2ctr <= (others => '0');
|
91 | --else
|
92 | -- clk2ctr <= clk2ctr +1;
|
93 | -- data <= blau & blau &blau & blau;
|
94 | --end if;
|
95 | -- if schalter = '1' then
|
96 | clk2ctr_int := TO_INTEGER(unsigned(clk2ctr));
|
97 | case clk2ctr_int is
|
98 | when 0 to 442 => data <= kugel & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & aus ;
|
99 | when 443 to 485 =>data <= gruen & kugel & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & aus ;
|
100 | when 486 to 886 =>data <= gruen & rot & kugel & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & aus ;
|
101 | when 887 to 1328 => data <= gruen & rot & blau & kugel & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & aus ;
|
102 | when 1329 to 1771 =>data <= gruen & rot & blau & rot & kugel & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & aus ;
|
103 | when 1772 to 2212 =>data <= gruen & rot & blau & rot & blau & kugel & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & aus;
|
104 | when 2213 to 2654 =>data <= gruen & rot & blau & rot & blau & rot & kugel & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & aus ;
|
105 | when 2655 to 3096 =>data <= gruen & rot & blau & rot & blau & rot & blau & kugel & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & aus ;
|
106 | when 3097 to 3538 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & kugel & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & aus ;
|
107 | when 3539 to 3980 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & kugel & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & aus ;
|
108 | when 3981 to 4422 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & kugel & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & aus ;
|
109 | when 4423 to 4864 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & kugel & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & aus ;
|
110 | when 4865 to 5306 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & kugel & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & aus ;
|
111 | when 5307 to 5748 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & kugel & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & aus ;
|
112 | when 5749 to 6190 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & kugel & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & aus ;
|
113 | when 6191 to 6632 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & kugel & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & aus ;
|
114 | when 6633 to 7074 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & kugel & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & aus ;
|
115 | when 7075 to 7516 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & kugel & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & aus ;
|
116 | when 7517 to 7958 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & kugel & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & aus ;
|
117 | when 7959 to 8400 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & kugel & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & aus ;
|
118 | when 8401 to 8842 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & kugel & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & aus ;
|
119 | when 8843 to 9284 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & kugel & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & aus ;
|
120 | when 9285 to 9726 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & kugel & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & aus ;
|
121 | when 9727 to 10168 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & kugel & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & aus ;
|
122 | when 10169 to 10610 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & kugel & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & aus ;
|
123 | when 10611 to 11052 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & kugel & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & aus ;
|
124 | when 11053 to 11494 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & kugel & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & aus ;
|
125 | when 11495 to 11936 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & kugel & blau & rot & blau & rot & blau & rot & blau & rot & blau & aus ;
|
126 | when 11937 to 12378 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & kugel & rot & blau & rot & blau & rot & blau & rot & blau & aus ;
|
127 | when 12379 to 12820 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & kugel & blau & rot & blau & rot & blau & rot & blau & aus ;
|
128 | when 12821 to 13262 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & kugel & rot & blau & rot & blau & rot & blau & aus ;
|
129 | when 13263 to 13704 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & kugel & blau & rot & blau & rot & blau & aus ;
|
130 | when 13705 to 14146 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & kugel & rot & blau & rot & blau & aus ;
|
131 | when 14147 to 14588 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & kugel & blau & rot & blau & aus ;
|
132 | when 14589 to 15030 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & kugel & rot & blau & aus ;
|
133 | when 15031 to 15472 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & kugel & blau & aus ;
|
134 | when 15473 to 15914 => data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & kugel & aus ;
|
135 | --when 15915 to 16356 => data <= kugel & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & aus ;
|
136 | --when 34426 to 35310 =>data <= gruen & kugel & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau ;
|
137 | --when 35311 to 36195 =>data <= gruen & rot & kugel & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau ;
|
138 | --when 36196 to 37080 => data <= gruen & rot & blau & kugel & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau ;
|
139 | --when 37081 to 37965 =>data <= gruen & rot & blau & rot & kugel & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau ;
|
140 | --when 37966 to 38850 =>data <= gruen & rot & blau & rot & blau & kugel & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau ;
|
141 | --when 38851 to 39735 =>data <= gruen & rot & blau & rot & blau & rot & kugel & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau ;
|
142 | --when 39736 to 40620 =>data <= gruen & rot & blau & rot & blau & rot & blau & kugel & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau ;
|
143 | --when 40621 to 41505 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & kugel & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau ;
|
144 | --when 41506 to 42390 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & kugel & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau ;
|
145 | --when 42391 to 19482 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & kugel & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau ;
|
146 | --when 19483 to 21253 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & kugel & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau ;
|
147 | --when 21254 to 23024 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & kugel & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau ;
|
148 | --when 23025 to 24795 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & kugel & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau ;
|
149 | --when 24796 to 26566 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & kugel & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau ;
|
150 | --when 26567 to 28337 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & kugel & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau ;
|
151 | --when 28338 to 30108 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & kugel & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau ;
|
152 | --when 30109 to 31879 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & kugel & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau ;
|
153 | --when 31880 to 33650 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & kugel & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau ;
|
154 | --when 33651 to 35421 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & kugel & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau ;
|
155 | --when 35422 to 37192 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & kugel & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau ;
|
156 | --when 37193 to 38963 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & kugel & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau ;
|
157 | --when 38964 to 40734 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & kugel & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau ;
|
158 | --when 40735 to 42505 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & kugel & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau ;
|
159 | --when 42506 to 44276 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & kugel & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau ;
|
160 | --when 44277 to 46047 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & kugel & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau ;
|
161 | --when 46048 to 47818 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & kugel & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau ;
|
162 | --when 47819 to 49589 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & kugel & blau & rot & blau & rot & blau & rot & blau & rot & blau ;
|
163 | --when 49590 to 51360 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & kugel & rot & blau & rot & blau & rot & blau & rot & blau ;
|
164 | --when 51361 to 53131 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & kugel & blau & rot & blau & rot & blau & rot & blau ;
|
165 | --when 53132 to 54902 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & kugel & rot & blau & rot & blau & rot & blau ;
|
166 | --when 54903 to 56673 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & kugel & blau & rot & blau & rot & blau ;
|
167 | --when 56674 to 58444 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & kugel & rot & blau & rot & blau ;
|
168 | --when 58445 to 60215 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & kugel & blau & rot & blau ;
|
169 | --when 60216 to 61986 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & kugel & rot & blau ;
|
170 | --when 61987 to 63757 =>data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & kugel & blau ;
|
171 | --when 63758 to 65528 => data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & kugel ;
|
172 | when others => data <= gruen & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & blau & rot & kugel & aus ;
|
173 | end case;
|
174 | clk2ctr <= clk2ctr +1;
|
175 | in_data_phase <= '1';
|
176 | bit_count <= (others => '0');
|
177 | count <= (others => '0');
|
178 | --end if;
|
179 | else
|
180 | count <= count + 1;
|
181 | end if;
|
182 | end if;
|
183 | end if;
|
184 |
|
185 | end process;
|
186 |
|
187 |
|
188 |
|
189 |
|
190 |
|
191 | end Behavioral;
|