Forum: FPGA, VHDL & Co. Lattice Diamond Warnung 1166052 "has no load"


von Herbert R. (Firma: Mesutronic Geraetebau GmbH) (herbydoit)


Lesenswert?

Guten Morgen,

in meinem Design erhalte ich folgende Warnung:

1166052  WARNING - logical net 
core/sym_control_inst/control_time_cnt_lcry_0_S0' has no load.

Durch die Optimierung werden Teile des Zählers entfernt.

Ich möchte die Anzahl der Warnungen minimieren.

Gibt es die Möglichkeit einzelne Warnungen zu unterdrücken?

von Lattice User (Gast)


Lesenswert?

Man kann Messagefilter definieren und dem Projekt hinzufügen.
Findet man in der Reports Übersicht ganz unten.

von Herbert R. (Firma: Mesutronic Geraetebau GmbH) (herbydoit)


Lesenswert?

OK. Dies ist eine Möglichkeit. Danke

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Herbert R. schrieb:
> Ich möchte die Anzahl der Warnungen minimieren.
Ich würde mich da zuerst fragen: warum habe ich den Zähler zu breit 
definiert? Ist das Absicht oder sollten die Bits "eigentlich" verwendet 
werden?

von Herbert R. (Firma: Mesutronic Geraetebau GmbH) (herbydoit)


Lesenswert?

Der 16 Bit-Zähler wird auf einen variablen 16 Bit Registerwert 
verglichen.

Der Compiler kann dort anscheinend optimieren.

.....
elsif (rising_edge(clk100_i)) then
  control_time_cnt <= control_time_cnt + 1;

  if control_time_cnt > unsigned(sym_control_time_i) then
    control_time_cnt <= (OTHERS => '0');
  end if;
.....

von Lattice User (Gast)


Lesenswert?

Herbert R. schrieb:
> Der 16 Bit-Zähler wird auf einen variablen 16 Bit Registerwert
> verglichen.
>
> Der Compiler kann dort anscheinend optimieren.
>
> .....
> elsif (rising_edge(clk100_i)) then
>   control_time_cnt <= control_time_cnt + 1;
>
>   if control_time_cnt > unsigned(sym_control_time_i) then
>     control_time_cnt <= (OTHERS => '0');
>   end if;
> .....

Wenn control_time_cnt und sym_control_time_i die gleiche Breite haben 
gibt es da nichts zu optimieren.
Es sei denn der Synthesizer meint dass der Registerinhalt konstant ist. 
Deutet also vielleicht auf einen Bug in einen anderem Teil des Designs!

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.