Forum: Mikrocontroller und Digitale Elektronik SN74HC595N QH´-Pin dauerhaft HIGH


von Leopold N. (leo_n)


Lesenswert?

Hallo zusammen,

ich habe ein Problem...

ich kaskadiere 32 8-bit Schieberegister (SN74HC595N) hintereinander.
Hat auch alles wunderbar funktioniert, bis ich mir die Latches mit 
Überspannung abgeraucht hab.
Habe jetzt genau die gleichen nochmal bestellt, eingebaut und das 
gleiche Programm verwendet....und siehe da, es funktioniert nicht mehr.
Nach einigen Messungen fiel mir auf, dass der QH´-Pin aller Latches auf 
1 lag, und zwar dauerhaft - egal was ich vorne reintakte.
Hab auch schon das Nachfolger-Latch rausgenommen, um zu schauen, ob der 
den Pin auf HIGH zieht, tut er aber nicht.
Jetzt ist die Frage: woran kann es liegen?

Grüße
Leopold

von Martin Netz (Gast)


Lesenswert?

Leopold N. schrieb:
> ich kaskadiere 32 8-bit Schieberegister (SN74HC595N) hintereinander.
> Hat auch alles wunderbar funktioniert, bis ich mir die Latches mit
> Überspannung abgeraucht hab.
> Habe jetzt genau die gleichen nochmal bestellt, eingebaut und das
> gleiche Programm verwendet....und siehe da, es funktioniert nicht mehr.

Leopold N. schrieb:
> Jetzt ist die Frage: woran kann es liegen?

Die neu gelieferten sind sämtlich defekt. Das ist das Einzige, was man 
aus der Beschreibung schließen kann.

von Leopold N. (leo_n)


Lesenswert?

> Die neu gelieferten sind sämtlich defekt. Das ist das Einzige, was man
> aus der Beschreibung schließen kann.

Danke für diese überaus hilfreiche Antwort...
Gibt es noch andere Vorschläge?

Grüße
Leopold

von fakten (Gast)


Lesenswert?

Gibts von Deiner Seite andere Fakten?

Wie wärs beispielsweise mit einem Schaltplan?

Deine Prosa läßt nur den Schluß zu, der schon Martin Netz gezogen wurde.

Also: liefern.

von Route_66 H. (route_66)


Lesenswert?

Leopold N. schrieb:
> Gibt es noch andere Vorschläge?

1. Du hast einen Fehler gemacht.
2. Auf Beschluss der EU wurde die Funktionsweise der Schieberegister 
geändert.
3. Mondstrahlen.
4. Du versuchst das Forum zu verschaukeln und es bei dir anders als du 
beschreibst.

Such dir was aus.

: Bearbeitet durch User
von Leopold N. (leo_n)


Lesenswert?

Mondstrahlen klingt plausibel...:)

Aufbau: Standardbeschaltung:

MOSI --> SER
SCK --> SCK
SS --> RCK
PORTC, 3 --> OE

Pins am SN74HC595N:

MR --> 5V
QA bis QH --> LEDs
GND --> 0V
Vcc --> 5V
QH´ --> SER des nächsten Latches

Ansteuerung per SPI


Reicht das?

Grüße
Leopold

von Leopold N. (leo_n)


Lesenswert?

Der SPI-Bus funktioniert normal:

CPOL = 0
CPHA = 0
Frequenz: 1 MHz
Master-Mode
MOSI, SCK, SS und PORTC, 3 als Ausgang gesetzt

--> Mit Oszi nachgemessen, stimmt alles (Datensignal ist da, Clock 
ebenfalls, OE geht zu Beginn der Übertragung auf HIGH damit LEDs nicht 
flackern, RCK geht zu Beginn der Übertragung LOW, wie im Datenblatt 
vorgegeben)

Kann es sein, dass MOSI (idle HIGH) zum Problem wird?

Grüße
Leopold

von VIA (Gast)


Lesenswert?

Leopold N. schrieb:
> Reicht das?

Irgendwo scheint da noch ein Bock drin zu sein, der aus deiner 
bisherigen Beschreibung nicht ersichtlich ist (zumindest nicht für 
mich).

Reicht also nicht.

Wie wäre es mal mit einem (vollständigen) Schaltplan und nicht nur einer 
flüchtigen Beschreibung.

Woher soll jemand anderes außer dir Wissen, wie es bei dir auf dem Tisch 
aussieht?


Leopold N. schrieb:
> Mit Oszi nachgemessen, stimmt alles

Dann sollte es ja laufen...


Leopold N. schrieb:
> Kann es sein, dass MOSI (idle HIGH) zum Problem wird?

Wenn du nach den "Nutzdaten" nur noch HIGH durch die Schieberegister 
taktest, ist auch QH' irgendwann dauerhaft HIGH.
Das sollte aber auch vor dem Abrauchen aufgefallen sein. Und vor allem 
sollten dann vorher die Daten einmal zu sehen sein(Oszi).

von Leopold N. (leo_n)


Lesenswert?

Ja einen Schaltplan hab ich nicht, weil ich kein Programm dafür habe...
aber verschaltet war es ja alles schon richtig!
Es hat ja schon funktioniert.

Ich habe jetzt gerade festgestellt, dass nur einzelne Latches ihre 
QH´Leitung random auf 1 setzen, sobald ich den Controller neustarte.
Austauschen dieser Latches brachte keinen Erfolg, die neuen weisen das 
gleiche random-Verhalten auf.

Wenn ich jedoch Glück habe und alle Latches nicht rumspacken, dann passt 
alles wieder.

Hat jemand eine Idee woran das liegen könnte?

Grüße
Leopold

von karadur (Gast)


Lesenswert?

Blockkondensatoren bei der Überspannung gestorben ?

von Jörg R. (solar77)


Lesenswert?

Leopold N. schrieb:
> Ja einen Schaltplan hab ich nicht, weil ich kein Programm dafür habe...

Dann zeichne es vernünftig auf und fotografiere es ab.

> aber verschaltet war es ja alles schon richtig!

Scheinbar nicht.

> Es hat ja schon funktioniert.

Irgendein Fehler muss ja vorliegen.

Leopold N. schrieb:
> ...bis ich mir die Latches mit Überspannung abgeraucht hab.

Ist das Problem denn beseitigt?

von Ingo L. (corrtexx)


Lesenswert?

Jörg R. schrieb:
>> Es hat ja schon funktioniert.
>
> Irgendein Fehler muss ja vorliegen.
Ist u.U. noch ein Bauteil gestorben welches du noch nicht als defekt 
erkannt hast?

von Peter D. (peda)


Lesenswert?

Leopold N. schrieb:
> bis ich mir die Latches mit
> Überspannung abgeraucht hab.

Leopold N. schrieb:
> Jetzt ist die Frage: woran kann es liegen?

Da ist noch mehr abgeraucht.
Oder Du hast beim Umlöten Leiterzüge unterbrochen oder Pins 
kurzgeschlossen.

von 6a66 (Gast)


Lesenswert?

Leopold N. schrieb:
> Ich habe jetzt gerade festgestellt, dass nur einzelne Latches ihre
> QH´Leitung random auf 1 setzen, sobald ich den Controller neustarte.
> Austauschen dieser Latches brachte keinen Erfolg, die neuen weisen das
> gleiche random-Verhalten auf.

Dann fange doch mal systematisch an.
Aus dem was ich hier gelesen habe kann man nicht wirklich eine 
Fehlerursache ableiten ausser der Mondstrahlen.

Also:
Fangen wir mir H595 #1 an. Geht da alles? Kommen die Daten richtig an 
den Ausgängen raus? Wenn nicht sind die Eingangssignale richtig (mal mit 
Logikstift prüfen)? Wie reagiert das Schieberegister wenn Du es von Hand 
taktest? Gibt es an den Ausgängen Kurzschlüsse? Sind die Bausteine 
RICHTIG rum drinnen? Ist alles richtig verdrahtet?

So und wenn bisher alles richtig ankommt machen wir mit Nummer zwei 
weiter. ...

Ist systematsich denn so schwer?

rgds

von Leopold N. (leo_n)


Lesenswert?

Nun habe ich alles nochmal durchgemessen(zum xtausendsten mal) und habe 
festgestellt, dass die Latches auch manchmal aus diesem Fehlermodus 
rauskommen (also ihren QH´ Ausgang wieder normal takten anstatt einer 
dauerhaften 1), wenn man den Controller resettet - fragt mich nicht 
warum.

Hat jemand dafür eine plausible Erklärung?

Und wegen Schaltplan: Bin auf der Arbeit und da darf ich keine externen 
Geräte an das Netzwerk hängen und somit kann ich auch kein Foto 
senden...tut mir leid, ich weiß wie ätzend das ist für euch.

Ausgänge hab ich keine kurzgeschlossen, das habe ich überprüft, außerdem 
funktionieren alle LEDs, wenn die Latches ausnahmsweise nicht 
rumspacken.
Stromaufnahme der Gesamtschaltung ist ebenfalls plausibel.

Die einzigen Bauteile, die noch hätten abrauchen können, sind nur der 
Controller(Atmega32), den habe ich inzwischen getauscht.

Systematische Fehlersuche...das mache ich bereits seit Tagen, und das 
mache ich immer so: Dennoch musste ich diesmal feststellen, dass das 
Problem durch reine Systematik nicht zu finden ist, da die Latches 
wirklich random beschließen, QH´ zu blockieren. Ich habe das Fehlerlatch 
schon zig mal ausfindig gemacht mit dem Oszi, getauscht, und dann hat 
ein anderes, welches eben noch funktionierte, begonnen zu spacken.
Deshalb hab ich das heut mal aufgegeben mit dem systematischen Suchen 
des fehlerhaften Latches.

Grüße
Leopold

von Jörg R. (solar77)


Lesenswert?

Route 6. schrieb:
> 2. Auf Beschluss der EU wurde die Funktionsweise der Schieberegister
> geändert.

Nein, so ein Beschluss würde mindestens 10 Jahre dauern und mehrere 
Arbeitsgruppen "Team74HC595" benötigen.

> 3. Mondstrahlen.

Auch nicht, dann würden meine 74HC595 auch nicht mehr funktionieren. Die 
schieben die Nullen und Einsen aber munter vor sich hin:-)

#####
#####

@TO

Wie bzw. wo ist die Schaltung aufgebaut?

- Breadboard?
- Lochraster?
- fertige Platine?

Was hängt an den Ausgängen der 74HC595?

Hat es bedingt durch die Überspannung gekokelt?

Wenn die Schaltung vorher funktioniert hat musst Du beim ersetzten der 
Chips etwas falsch gemacht haben, oder es ist doch mehr zerstört worden 
als nur die 595'er.

von Leopold N. (leo_n)


Lesenswert?

6a66 schrieb:
> Sind die Bausteine
> RICHTIG rum drinnen? Ist alles richtig verdrahtet?

Ja verdrahtet hab ich alles richtig (hat ja bereits funktioniert und ich 
habe seitdem nichts verändert)

Bausteine sind ALLE richtig herum in den Fassungen (hab ich 3mal 
kontrolliert)

Grüße
Leopold

von Jörg R. (solar77)


Lesenswert?

Leopold N. schrieb:
> Und wegen Schaltplan: Bin auf der Arbeit und da darf ich keine externen
> Geräte an das Netzwerk hängen und somit kann ich auch kein Foto
> senden...tut mir leid, ich weiß wie ätzend das ist für euch.

Dann liefere den Plan und Fotos vom Aufbau doch heute Abend. Das was 
hier jetzt passiert ist stochern im Nebel.

von Leopold N. (leo_n)


Lesenswert?

Jörg R. schrieb:
> Wie bzw. wo ist die Schaltung aufgebaut?

Die Schieberegister sind auf zwei fertigen Lochrasterplatinen ordentlich 
und übersichtlich aufgelötet.
Verbunden sind die beiden Lochrasterplatinen untereinander mit einem 
6poligen Datenbus(GND, VCC, SER, SCK, RCK, OE) und mit einem weiteren 
6poligen Datenbus zum Controller, welcher noch auf dem Breadboard 
aufgesteckt ist: Signale vom Controller mess ich dauerhaft durch mit dem 
Oszi --> stimmen alle


Jörg R. schrieb:
> Was hängt an den Ausgängen der 74HC595?

Pro Schieberegister 64 LEDs im Maximalfall (8 pro Kanal, Anzahl der 
aktivierten LEDs hängt vom Programm ab, PWM-gesteuert --> somit 
geringere Stromaufnahme)

Jörg R. schrieb:
> Hat es bedingt durch die Überspannung gekokelt?

Nein.

von Jörg R. (solar77)


Lesenswert?

Leopold N. schrieb:
> Die Schieberegister sind auf zwei fertigen Lochrasterplatinen ordentlich
> und übersichtlich aufgelötet.
> Verbunden sind die beiden Lochrasterplatinen untereinander mit einem
> 6poligen Datenbus(GND, VCC, SER, SCK, RCK, OE) und mit einem weiteren
> 6poligen Datenbus zum Controller, welcher noch auf dem Breadboard
> aufgesteckt ist: Signale vom Controller mess ich dauerhaft durch mit dem
> Oszi --> stimmen alle

Trotzdem die vielleicht überflüssige Frage: Wenn Du mit dem Oszi misst, 
wo ist dann der Bezugspunkt (GND) abgegriffen? An der Platine wo die 
Versorgung und die Signale herkommen oder an der Platine wo die 595er 
sitzen? Anders gefragt, hast Du mal die Versorgungsspannung an einem 
595er direkt gemessen, zwischen PIN 8 und PIN 16?

von Dergute W. (derguteweka)


Lesenswert?

Moin,

So ganz allgemein: Man kann es schon beobachten, dass bei bestimmten 
Schaltungen/Konfigurationen nur ganz bestimmte Chips eines bestimmten 
Herstellers funktionieren. Nimmt man auch nur Chips aus einer anderen 
Fertigungscharge tut irgendwas nicht mehr so wie erwartet.
Das ist dann eigentlich immer ein ganz klarer Hinweis drauf, dass die 
Chips dort in irgendeiner Weise ausserhalb der Spezifikation betrieben 
werden. Denn  ausserhalb der Spec verhalten sich Chips verschiedener 
Hersteller / Chargen unterschiedlich. Innerhalb der Spec. nicht, denn da 
wird das kontrolliert und wuerde dem Chiphersteller auffallen.
Also guck', dir z.B. das Timing deiner Signale an, die Qualitaet der 
Signale und Spannungen.

Gruss
WK

von Leopold N. (leo_n)


Lesenswert?

Jörg R. schrieb:
> hast Du mal die Versorgungsspannung an einem
> 595er direkt gemessen, zwischen PIN 8 und PIN 16?

ne hab ich ehrlich gesagt nicht, da ich davon ausgegangen bin, dass die 
Versorgungsspannung passt. Hab eben kurz nachgemessen und tatsächlich: 
passt

Es scheint allgemein jetzt wieder zu funktionieren, wo der Fehler war: 
keine Ahnung; trotzdem danke für eure Hilfe. Falls jemand noch eine Idee 
hat, woran es liegen könnte, kann er gerne noch schreiben, ich wäre 
interessiert an möglichen Fehlern, ansonsten wäre dieser Thread 
geschlossen.

Grüße
Leopold

von Martin Netz (Gast)


Lesenswert?

Leopold N. schrieb:
> Falls jemand noch eine Idee
> hat, woran es liegen könnte, kann er gerne noch schreiben, ich wäre
> interessiert an möglichen Fehlern, ansonsten wäre dieser Thread
> geschlossen.

Den kann man auch komplett löschen, weil er für Niemand mit einem 
solchen Problem Hilfe bietet. Er stiehlt Leuten, die über eine 
Suchmaschine hierher gelangen nur Zeit.

von Andreas S. (Firma: Schweigstill IT) (schweigstill) Benutzerseite


Lesenswert?

Dein Problem besteht darin, dass Du immer davon ausgehst, dass alles 
korrekt aufgebaut wäre. Das ist es aber nicht, denn ansonsten käme es 
nicht zu den mehr oder minder sporadisch auftretenden Fehlern. Und die 
Behauptung, dass Du eine tagelange systematische Fehlersuche betrieben 
hättest, ist entweder ein glatte Lüge oder Selbstüberschätzung gepaart 
mit technischer Inkompetenz. Ansonsten hättest Du den Fehler mit sehr 
großer Wahrscheinlichkeit auch gefunden. Der beschriebene Baustein ist 
nun schon hinreichend lange auf dem Markt und zudem wirklich nicht so 
komplex, als dass man mit systematischer Fehlersuche noch völlig 
neuartige Fehlerzustände übersehen könnte.

Ich vermute sehr stark, dass Du die Datenblätter (möglichst mehrerer 
Hersteller!) nicht vollständig gelesen und verstanden hast, insbesondere 
auch die üblicherweise darin befindlichen Timingdiagramme und 
zugehörigen Zahlenwerte. Wahrscheinlich verwendest Du einfach eine 
falsche SPI-Betriebsart, so dass die Phasenlagen und Polaritäten von 
Microcontroller und Schieberegistern nicht zueinander passen. Oder es 
handelt sich um die Verschiebung des Massepotentials durch die gepulsten 
Ströme der LED, z.B. auch durch Kontaktübergangswiderstände.

Aber Du hast ja im Rahmen Deiner systematischen Fehlersuche alle 
möglichen Ursachen ausgeschlossen. Also funktioniert dann ja alles unter 
allen möglichen Betriebsbedingungen schon perfekt.

von Leopold N. (leo_n)


Lesenswert?

Andreas S. schrieb:
> ist entweder ein glatte Lüge oder Selbstüberschätzung gepaart
> mit technischer Inkompetenz

Ein bisschen anmaßend und unverschämt, meinst Du nicht auch?

von Erich (Gast)


Lesenswert?

Ich neige zur selben Meinung wie Andreas S.
Manchmal hat die Diplomatie eben ihre Grenzen.
Gruss

von Andreas S. (Firma: Schweigstill IT) (schweigstill) Benutzerseite


Lesenswert?

Leopold N. schrieb:
> Ein bisschen anmaßend und unverschämt, meinst Du nicht auch?

Nein, nicht im geringsten. Du beharrst auf der Behauptung, systematisch 
vorgegangen zu sein, obwohl es nicht den Hauch einer Annahme gibt, dass 
Du wirklich so vorgegangen bist. Und den Fehler hast Du trotz tagelanger 
Suche nicht gefunden. Dann erkläre uns doch bitte, was außer 
ausgeprägter fachlicher Inkompetenz hierfür die Ursache sein kann?

von Georg (Gast)


Lesenswert?

Leopold N. schrieb:
> Ein bisschen anmaßend und unverschämt

Nach deinen bisherigen Posts haben wir ja nur 2 Möglichkeiten:

1 Wir glauben dir.
2 Wir glauben an die bisher gültigen Naturgesetze.

Beides geht nicht.

Georg

von Leopold N. (leo_n)


Lesenswert?

Nun wie dem auch sei, der Fehler ist gefunden und behoben.
Ich habe ehrlich gesagt nicht die Lust und Zeit, mich mit solch (meiner 
Meinung nach) unproduktiven Aussagen zu beschäftigen und bedanke mich 
somit bei denen, die mir helfen konnten, bzw. dies ernsthaft versucht 
haben.

Der Thread wäre damit meinerseits geschlossen(wie schon oben erwähnt).

Viele Grüße
Leopold

von VIA (Gast)


Lesenswert?

Leopold N. schrieb:
> Nun wie dem auch sei, der Fehler ist gefunden und behoben.


Was war denn der Fehler?
Das würde dann wenigstens anderen noch helfen, die vor einem ähnlichen 
Phänomen stehen.

von Jörg R. (solar77)


Lesenswert?

@TO

Wenn es nun plötzlich wieder funktioniert, ohne die eigentliche Ursache 
gefunden zu haben, glaube ich nicht dass das Problem auf Dauer behoben 
ist.

Gründe kann es genug geben:

- Kontaktierungsproblem an Steckverbindungen
- Haarriss in einer Leiterbahn
- schlechte bzw. kalte Lötstelle(n)
- Thermisches Problem

usw. usw.

: Bearbeitet durch User
Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.