Forum: Markt [B] Kleines FPGA Board. Lattice MachXO2


von Patrik S. (Firma: Verein: BLS-Electronics) (patrikseiler)


Lesenswert?

Hallo zusammen

Da bei mir immer wieder mal Anwendungen auftauchen, bei welchen sich ein 
FPGA anbieten würde, überlege ich mir ein kleines FPGA Board zu 
erstellen.

Auf dem Board wäre ein

LCMXO2-640HC-4SG48C
- 640 LUT
- 21 IOs
- 400 MHz
- 5 kBit distributed RAM
- 18 kBit EBR oder 64 als 640U version
- 36 IOs
- I2C, SPI, Timer in Hardware fix enthalten

oder

LCMXO2-1200HC-4SG32C
- 1280 LUT
- 21 IOs
- 400 MHz
- 10 kBit distributed RAM
- 64 kBit EBR
- PLL
- I2C, SPI, Timer in Hardware fix enthalten

Was sonst noch drauf wäre:
- ein paar LEDs
- Taster
- 5V -> 3.3V Regulator
- USB zur Stromversorgung und evtl zum Programmieren (siehe unten)
- Stiftleisten für die restlichen Pins.

Ebenfalls wäre ein abbrechbarer Programmer auf Basis eines FTDI denkbar.
Würde von eurer Seite her Interesse an solch einem minimal Board 
bestehen?

Ein solches Board könnte sehr Kostengünstig umgesetzt werden.
Vorausgesetzt wir finden hier ein paar abnehmer dafür :)

Gruss
Patrik

: Bearbeitet durch User
von Volker S. (vloki)


Lesenswert?


von Patrik S. (Firma: Verein: BLS-Electronics) (patrikseiler)


Lesenswert?

Volker S. schrieb:
> So was ähnliches wie das MachXO2BreakoutBoard?
> http://www.latticesemi.com/en/Products/Development...

Ja ähnlich aber kleiner, mit Taster und vermutlich etwas günstiger.

von Jan H. (janhenrik)


Lesenswert?

Ich möchte dich nur ungern enttäuschen, aber ich glaube das lohnt sich 
einfach nicht, da Lattice dies bereits anbietet.

http://www.latticesemi.com/Products/DevelopmentBoardsAndKits/MachXO2BreakoutBoard.aspx

Bloß das es (vieeel) mehr I/O's, 6864 LUT's, und von allem anderen auch 
mehr hat und vermutlich trotzdem noch günstiger ist. 21 I/O's sind halt 
schon eher wenig für solche Boards (IMHO nimmt man oftmals ein FPGA 
grade weil es enorm viele I/O hat)

von Jan H. (janhenrik)


Lesenswert?

Patrik S. schrieb:
> Volker S. schrieb:
>> So was ähnliches wie das MachXO2BreakoutBoard?
>> http://www.latticesemi.com/en/Products/Development...
>
> Ja ähnlich aber kleiner, mit Taster und vermutlich etwas günstiger.

Grade sowas (Taster, LED's, Sensoren und co) will man ja nicht, da dies 
I/O's kostet. Benötigt man dies, so kann man es einfach an die I/O's 
anschließen.

von Patrik S. (Firma: Verein: BLS-Electronics) (patrikseiler)


Lesenswert?

Jan H. schrieb:
> und von allem anderen auch
> mehr hat und vermutlich trotzdem noch günstiger ist

Nun, du solltest auch noch die 45 USD Versand zu den 26 USD hinzurechnen 
:)
Dann sind es unterm Strich 71 USD für das Lattice Breakoutboard.

Jan H. schrieb:
> Bloß das es (vieeel) mehr I/O's, 6864 LUT's, und von allem anderen auch
> mehr hat

Das ist genau der Punkt.
Man braucht nicht immer von allem mehr und extrem viel.
Manchmal ist man auch mit ein paar Ios zufrieden. Z.B. um ein paar 
schnelle Zähler zu implementieren oder ein Protokoll zu konvertieren.

Oder um einfach mal ein paar Erfahrungen mit einem FPGA zu sammeln

Unsere Versandkosten liegen massiv unterhalb von 45 USD

von Patrik S. (Firma: Verein: BLS-Electronics) (patrikseiler)


Lesenswert?

Jan H. schrieb:
> Grade sowas (Taster, LED's, Sensoren und co) will man ja nicht, da dies
> I/O's kostet. Benötigt man dies, so kann man es einfach an die I/O's
> anschließen.

Ist auch ein Argument.
Dann kann man auch die Taster weglassen. sowie die LEDs
bzw. diese mittels lötbrücken anbindbar machen

: Bearbeitet durch User
von Jan H. (janhenrik)


Lesenswert?

Patrik S. schrieb:
> Jan H. schrieb:
>> und von allem anderen auch
>> mehr hat und vermutlich trotzdem noch günstiger ist
>
> Nun, du solltest auch noch die 45 USD Versand zu den 26 USD hinzurechnen
> :)
> Dann sind es unterm Strich 71 USD für das Lattice Breakoutboard.

Bei Mouser ~30€ inkl. shipping.


> Jan H. schrieb:
>> Bloß das es (vieeel) mehr I/O's, 6864 LUT's, und von allem anderen auch
>> mehr hat
>
> Das ist genau der Punkt.
> Man ... ... konvertieren.
>
> Oder um einfach mal ein paar Erfahrungen mit einem FPGA zu sammeln

Ich sehe nicht wo da mehr I/O's im weg sein sollen.

von Patrik S. (Firma: Verein: BLS-Electronics) (patrikseiler)


Angehängte Dateien:

Lesenswert?

Jan H. schrieb:
> Bei Mouser ~30€ inkl. shipping.

Wie kommst du auf 30 EUR?
Siehe Screenshot im Anhang.

Jan H. schrieb:
> Ich sehe nicht wo da mehr I/O's im weg sein sollen.

Sind nicht im Weg. Aber das kleinere Board ist günstiger und man kann es 
auch gut mal in eine Schaltung einbauen.

Frage an dich Jan H.: beschäftigst du dich denn persönlich mit FPGAs?

von Jan H. (janhenrik)


Lesenswert?

Ja, deswegen finde ich ja das es sich nicht lohnt.

Im Grunde ist es mir aber auch egal, Viel glück ^^

von Mac G. (macgyver0815)


Lesenswert?

Patrik S. schrieb:
> Jan H. schrieb:
>> Bei Mouser ~30€ inkl. shipping.
>
> Wie kommst du auf 30 EUR?
> Siehe Screenshot im Anhang.



Mit Mwst. sinds halt 27,60.
Mouser verschickt ab 50 Euro Versandkostenfrei, nimmt man halt zwei 
davon und noch irgendeine Kleinigkeit für paar Euro oder irgendein STM32 
Discovery o.ä....

von TU Student 1. (student0)


Lesenswert?

Was spricht dagegen, die Gerber-Files zu veröffentlichen oder soll es 
verkauft werden?      Dann mit denen kann jeder sich 10 Stk um 10 EUR 
(10x10cm) bei einem Proto-PCB-Hersteller ordern, oder sogar noch mehr, 
wenn man es panelisiert.

: Bearbeitet durch User
von Patrik S. (Firma: Verein: BLS-Electronics) (patrikseiler)


Lesenswert?

TU S. schrieb:
> Was spricht dagegen, die Gerber-Files zu veröffentlichen oder soll es
> verkauft werden?      Dann mit denen kann jeder sich 10 Stk um 10 EUR
> (10x10cm) bei einem Proto-PCB-Hersteller ordern, oder sogar noch mehr,
> wenn man es panelisiert.

TU S. schrieb:
> Was spricht dagegen, die Gerber-Files zu veröffentlichen

Nun, zum jetzigen Zeitpunkt existieren noch gar keine Files.
Bisher ist das Board ja lediglich eine Idee.

Wir würden gerne ein paar bestückte Boards verkaufen, um in paar Euro in 
unsere Vereinskasse zu bekommen.

Die Gerberfiles könnten wir dann aber durchaus veröffentlichen.
Wenn jedoch hier kein Interesse am eigentlichen Projekt ersichtlich ist, 
so werden wir auch kein solches Board erstellen.

von Lars R. (lrs)


Lesenswert?

Das Trenz Max1000 mit Intel MAX10 8KLUT, mindestens 21 IOs,SDRAM, 
SPI-Flash sowie ein paar anderen Sachen kostet 22EUR netto.

Bei Einzelstücken spielt für den Käufer ein Euro mehr oder weniger 
gerade keine Rolle.

Noch nicht gibt es kleine, günstige Boards mit mittelgroßen FPGAs (zb 
50KLUT) und vielen, einfach zugänglichen IOs (pinheader). Vielleicht 
gibt es dafür aber auch nicht den Bedarf (?) Ein Vorschlag meinerseits 
hier im Forum ergab wenig Interesse.
Der Preis allein kann aber auch hier nicht das ausschlaggebende 
Kaufargument sein, denn bei subventionieren Eval-Boards oder Boards mit 
großen Stückzahlen gibt es immer mehr Funktion für das selbe Geld oder 
sogar für weniger Geld (und wenn schon nicht heute zum Zeitpunkt des 
Designs, dann spätestens morgen).


Edit:
> LCMXO2-640HC-4SG48C
> - 640 LUT

Warum denkst Du noch über so etwas nach? Soll das ein Board mit großen 
Volumen werden? Dann ist die Aussage mit "ein paar Boards für die 
Vereinskasse verkaufen" unklar. Andernfalls (dh, kein großes Volumen bei 
dem es auf jeden einzelnen Cent ankommt) grenzt es IMHO an Unvernunft, 
ein 640LUT-Board zu bauen.

: Bearbeitet durch User
von Patrik S. (Firma: Verein: BLS-Electronics) (patrikseiler)


Lesenswert?

Lars R. schrieb:
> Noch nicht gibt es kleine, günstige Boards mit mittelgroßen FPGAs (zb
> 50KLUT) und vielen, einfach zugänglichen IOs (pinheader). Vielleicht
> gibt es dafür aber auch nicht den Bedarf (?) Ein Vorschlag meinerseits
> hier im Forum ergab wenig Interesse.

Danke für deinen Vorschlag.
Wann hast du denn diese Idee hier gepostet?

Wir können auch grössere FPGAs auf unser Board packen.
Hast du einen konkreten Vorschlag mit Part-Nummer?

Lars R. schrieb:
> denn bei subventionieren Eval-Boards oder Boards mit
> großen Stückzahlen gibt es immer mehr Funktion für das selbe Geld oder
> sogar für weniger Geld (und wenn schon nicht heute zum Zeitpunkt des
> Designs, dann spätestens morgen).

Das stimmt (leider für uns).
Deshalb möchten wir vorallem mit dem Formfaktor punkten, sowie den 
günstigen Rahmenbedigungen wie Versandkosten und mit dem Vereins Faktor. 
Soll heissen der welcher das Board kauft, weiss, dass er das Geld nicht 
irgendwelchen Managern gibt sondern einem Verein in dessen Kasse.

Theoretisch könnten wir die Preise, selbst von subventionierten Boards 
sogar unterbieten, wenn wir für Amortisation der Maschinen nichts 
kalkulieren und das Bier selbst bezahlen.

Lars R. schrieb:
> Soll das ein Board mit großen
> Volumen werden?

Definiere gross :)

Lars R. schrieb:
> grenzt es IMHO an Unvernunft,
> ein 640LUT-Board zu bauen.

Nun, uns geht es darum etwas zu haben welches aufs Breadboard passt.
Es soll dazu dienen aller erste Erfahrungen mit einem FPGA zu sammeln.
Vielen Anfängern ist ein SRAM oder Flash bereits zu viel.

Aber wir sind ja für Vorschläge offen.
Deshalb ja auch dieser Thread. Um Ideen zu sammeln.

: Bearbeitet durch User
Beitrag #5179069 wurde vom Autor gelöscht.
von Patrik S. (Firma: Verein: BLS-Electronics) (patrikseiler)


Angehängte Dateien:

Lesenswert?

So, die erste Version ist fertig.
Kommentare sind willkommen.

Grösse: 40x100mm

: Bearbeitet durch User
von Lars R. (lrs)


Lesenswert?

Patrik S. schrieb:
> Lars R. schrieb:
>> Noch nicht gibt es kleine, günstige Boards mit mittelgroßen FPGAs (zb
>> 50KLUT) und vielen, einfach zugänglichen IOs (pinheader). Vielleicht
>> gibt es dafür aber auch nicht den Bedarf (?) Ein Vorschlag meinerseits
>> hier im Forum ergab wenig Interesse.
>
> Danke für deinen Vorschlag.
> Wann hast du denn diese Idee hier gepostet?
> Wir können auch grössere FPGAs auf unser Board packen.
> Hast du einen konkreten Vorschlag mit Part-Nummer?

Vor einem Jahr:
https://hackaday.io/project/13245-altera-max10-breakout-board
Zwischenzeitlich habe ich eine Version mit 4 Lagen und der ADC-Version 
angefangen. Die ADC-Version hat weitere Features, die die 
non-ADC-Version nicht hat. Dafür habe ich mich auf 40KLUT-50KLUT 
beschränkt...

10M50SAE144C8G

> Lars R. schrieb:
>> denn bei subventionieren Eval-Boards oder Boards mit
>> großen Stückzahlen gibt es immer mehr Funktion für das selbe Geld oder
>> sogar für weniger Geld (und wenn schon nicht heute zum Zeitpunkt des
>> Designs, dann spätestens morgen).
>
> Das stimmt (leider für uns).
> Deshalb möchten wir vorallem mit dem Formfaktor punkten, sowie den
> günstigen Rahmenbedigungen wie Versandkosten und mit dem Vereins Faktor.
> Soll heissen der welcher das Board kauft, weiss, dass er das Geld nicht
> irgendwelchen Managern gibt sondern einem Verein in dessen Kasse.
>
> Theoretisch könnten wir die Preise, selbst von subventionierten Boards
> sogar unterbieten, wenn wir für Amortisation der Maschinen nichts
> kalkulieren und das Bier selbst bezahlen.

Vielleicht stimmt das in Deinem Fall. Aber typischerweise stimmt das für 
Kleinststückzahlen gerade nicht. Da kannst Du die Bestückungskosten 
sowie sämtliche anderen Aufwände mit Null kalkulieren und schaffst es 
dennoch nicht.

> Nun, uns geht es darum etwas zu haben welches aufs Breadboard passt.
> Es soll dazu dienen aller erste Erfahrungen mit einem FPGA zu sammeln.
> Vielen Anfängern ist ein SRAM oder Flash bereits zu viel.

Patrik S. schrieb:
> So, die erste Version ist fertig.
> Kommentare sind willkommen.
>
> Grösse: 40x100mm

Das ist ja riesig. Hast Du Dir das Trenz MAX10 für 22EUR inkl. SDRAM uns 
SPI-Flash wenigstens einmal angeschaut?  Mein obiges Board könnte man 
ebenfalls auf das Breadboard stecken, jedoch sind die Pins im 
1.27-Raster angeordnet, sogar doppelreihig...


Patrik S. schrieb:
> Wenn jedoch hier kein Interesse am eigentlichen Projekt ersichtlich ist,
> so werden wir auch kein solches Board erstellen.

Patrik S. schrieb:
> So, die erste Version ist fertig.
> Kommentare sind willkommen.

Wieder mit 640LUT? Brauchst/baust Du das Teil ohnehin und schaust, ob Du 
noch ein paar davon absetzen kannst und was noch verbesserbar ist? Wegen 
Boardgröße oder Preis braucht das Teil niemand. Zu groß, zu teuer.

Edit:
Wer es unbedingt braucht, der steckt mit einem gewinkelten Pinheader 
sogar das Trenz MAX1000 auf das Breadboard. Dabei bleibt daneben sogar 
noch (viel) mehr Platz als mit Deinem ersten Ansatz.

: Bearbeitet durch User
Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.