Forum: FPGA, VHDL & Co. Quartus Prime 18.0 - VHDL 2008 Support


von Gert (Gast)


Lesenswert?

Hallo zusammen,

für ein kommendes Projekt habe ich mir mal die neue Quartus Prime 
Version angeguckt. Dabei ist mir aufgefallen, dass VHDL 2008 in der Lite 
Version nicht unterstürtzt wird:

https://www.altera.com/products/design-software/fpga-design/quartus-prime/download.html

Seit wann ist das so? Soweit ich weiß haben Xilinx und Lattice nicht so 
eine Beschränkung oder hat sich da auch etwas geändert?

VG
Gert

von bitwurschtler (Gast)


Lesenswert?

Gert schrieb:

> für ein kommendes Projekt habe ich mir mal die neue Quartus Prime
> Version angeguckt. Dabei ist mir aufgefallen, dass VHDL 2008 in der Lite
> Version nicht unterstürtzt wird:

> Seit wann ist das so? Soweit ich weiß haben Xilinx und Lattice nicht so
> eine Beschränkung oder hat sich da auch etwas geändert?


Mal ne Gegenfrage, ist die fehlende VHDL-2008 Unterstützung für die 
Synthese irgendwie relevant? Viel von den Cores ist eh älter als 10 
Jahre und die Synthese versteht eh nur ein Bruchteil des VHDL-Standards. 
Von generierten Cores und verilog ganz zu schweigen.

von Gert (Gast)


Lesenswert?

Das Benutzen der Fest- und Fließkommaarithmetik aus dem VHDL Standard 
wäre mir schon wichtig. Klar gibt es da auch Wege und Lösungen ohne VHDL 
2008, aber wenn mir Xilinx/Lattice das anbieten?

Ich meine, dass Quartus in der 15er/16er Lite Version noch VHDL 2008 
unterstützt hat...

von Donni D. (Gast)


Lesenswert?

Bin mir nicht sicher, aber in dem Projekt-Einstellungen konnte man in 
der 17.1er jedenfalls noch auf 2008 stellen. Geht das in der 18er nicht 
mehr?

von Markus F. (mfro)


Lesenswert?

Gert schrieb:
> Das Benutzen der Fest- und Fließkommaarithmetik aus dem VHDL
> Standard
> wäre mir schon wichtig. Klar gibt es da auch Wege und Lösungen ohne VHDL
> 2008, aber wenn mir Xilinx/Lattice das anbieten?
>
> Ich meine, dass Quartus in der 15er/16er Lite Version noch VHDL 2008
> unterstützt hat...

Auch die 17er unterstützt VHDL 2008.

Die 18er Version habe ich noch nicht probiert. Ich gehe davon aus, dass 
Altera/Intel nicht einfach die (existierende) VHDL 2008-Untertützung 
ausgebaut hat, sondern dass sich die Aussage eher auf den 
SystemVerilog-Teil bezieht.

Wär's anders, wäre das für mich ein Grund, von Altera/Intel künftig 
Abstand zu nehmen. Ich verwende so viel als möglich VHDL 2008-Konstrukte 
in meinen Designs (einfach eleganter, simpler und unkomplizierter) und 
möchte darauf nicht mehr verzichten.

von Markus F. (mfro)


Lesenswert?

Ich hab's gerade mal installiert.

Entwarnung.

Die 18er-Version der Web-Edition enthält VHDL 2008 Support genau wie 
ihre Vorgänger - bislang keine Einschränkung erkennbar.

P.S.: Anscheinend ist aber "Altera-Talkback" verschwunden und damit der 
Multiprozessor-Support, den man bei den Vorgängern dafür als Belohnung 
bekam. Das ist keine gewaltige Einschränkung (mehr als anderhalb 
genutzte Cores habe ich sowieso nie gesehen, obwohl genug davon da 
wären).

DSGVO oder wollen die einfach nicht mehr so viele mistige Designs 
angucken?

P.P.S: stimmt auch nicht. Der Fitter-Report weist eindeutig zwei 
genutzte Cores aus. Geht also. Obwohl die Einstellung auf einer CPU 
steht und sich nicht ändern lässt...

Soll verstehen, wer will. Mir soll's recht sein.

: Bearbeitet durch User
von Weltbester FPGA-Pongo (Gast)


Lesenswert?

Markus F. schrieb:
> Die 18er-Version der Web-Edition enthält VHDL 2008 Support genau wie
> ihre Vorgänger - bislang keine Einschränkung erkennbar.
Ich hätte mich auch schwer gewundert, wenn Altera angesichts der von 
Intel befehligten Sparmassnahmen auch nur 1h darin investiert hätte, die 
freie Version irgendwie umszustricken und den Support ( der an praktisch 
jeder Ecke im Code steckt) rausnimmt :D

von Da D. (dieter)


Lesenswert?

Weltbester FPGA-Pongo schrieb im Beitrag #5444184:
> Intel befehligten Sparmassnahmen

Hast du da genauere Informationen oder Quellen zu?

von Gert (Gast)


Lesenswert?

Bei mir kommt immer ein Fehler (Lite). Beim Googlen bin ich dann auf das 
gestoßen

https://www.altera.com/support/support-resources/knowledge-base/solutions/rd09062016_335.html

In Quartus kann ich aber noch unter Assignments -> Settings -> Compiler 
-> VHDL Input "VHDL 2008" auswählen.

Kann jemand mal dieses kleine VHDL Beispiel bei sich testen:
1
library ieee;
2
library work;
3
use ieee.std_logic_1164.all;
4
use ieee.numeric_std.all;
5
use work.fixed_pkg.all;
6
7
8
entity test is 
9
generic ( 
10
  vorkomma_bits    : integer  := 8;
11
  nachkomma_bits    : integer  := 16
12
); 
13
  
14
port (
15
      
16
  Eingang      : in  sfixed(vorkomma_bits downto - nachkomma_bits);
17
  Ausgang      : out  sfixed(vorkomma_bits downto - nachkomma_bits)
18
);
19
20
end entity test;
21
22
architecture verhalten of test is
23
end architecture;

von Da D. (dieter)


Lesenswert?

Aus deinem Link:
> IEEE fixed_pkg and float_pkg libraries are not supported in the Quartus® Prime
> Standard edition and Lite edition.

Was ist jetzt genau deine Frage?

: Bearbeitet durch User
von Gert (Gast)


Lesenswert?

Ob bei euch der gleiche Fehler kommt wie er in dem Link beschrieben ist.

von Markus F. (mfro)


Lesenswert?

Die Standard fixed_pkg und float_pkg Libraries sind in der Lite Edition 
schlicht nicht enthalten. Das heisst nicht unbedingt, dass die Lite 
Edition nicht damit umgehen könnte ...

von Gert (Gast)


Lesenswert?

Im Installationspfand finde ich sie jedoch

von Markus F. (mfro)


Lesenswert?

Gert schrieb:
> Im Installationspfand finde ich sie jedoch

in deiner ModelSim-Installation?

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.