Forum: FPGA, VHDL & Co. Zugreifen auf ein Signal aus einem Untermodul


von Fritz W. (fritz6392)


Angehängte Dateien:

Lesenswert?

Hallo,

ich möchte den schnellen internen Oszillator eines iCE5LP (iCE40 Ultra) 
nutzen. Dieser wird als Untermodul initialisiert, die Anleitung dazu 
habe ich angehängt. Man findet die aber auch bei Google. Auf Seite 8 
wird die Clock beispielhaft in Verilog initialisiert.

Ich schreibe mein Design aber in VHDL, ich kann so gut wie kein Verilog. 
Ich versuche, das Beispiel aus der Anleitung in VHDL unzusetzen. Das 
Einschalten und Enablen klappt schon. Nur die Frequenz stimmt noch 
nicht. Ich glaube das liegt daran, dass ich den Clock-Divider nicht 
setze. In verilog scheint es ganz einfach zu sein, da wird mit der 
letzten Zeile aus dem Beispiel

Defparam OSCInst0.CLKHF_DIV = 2'b00;

auf den Clock-Divider zugegriffen. Dieser scheint ein Pararmeter aus dem 
Untermodul zu sein. Aber wie mache ich so etwas in VHDL?

von Markus F. (mfro)


Lesenswert?

Fritz W. schrieb:
> Aber wie mache ich so etwas in VHDL?

Die VHDL-Entsprechung zu Defparam sind generics.

Also so was:
1
entity xyz is
2
    generic
3
    (
4
        CONST     : integer := 4711
5
    );
6
    port
7
    (
8
        ...
9
    );
10
end entity xyz;
11
12
...
13
14
i_xyz : entity work.xyz
15
    generic map
16
    (
17
       CONST => 1234
18
    )
19
    port map
20
    (
21
       ...
22
    );

Du vergibst also bei der entity-Deklaration einen "Default-Wert", den Du 
bei der konkreten Instantiierung "übersteuern" kannst.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Fritz W. schrieb:
> Aber wie mache ich so etwas in VHDL?
Dort bie 
https://hsel.co.uk/2018/05/21/lattice-ice40-ultra-plus-fpga-gnarly-grey-upduino-tutorial-1-the-basics/ 
scheints so zu klappen:
1
  component  SB_HFOSC  is 
2
  generic( 
3
    CLKHF_DIV: string:="0b00"
4
  ); 
5
  port(
6
    CLKHF    : out std_logic;
7
    CLKHFEN  : in std_logic;
8
    CLKHFPU  : in std_logic
9
  );
10
  end component ;
11
:
12
:
13
--Instantiate HFOSC with a clock divider of 8 (48MHz/8 = 6MHz)
14
  iosc: SB_HFOSC 
15
  generic map( 
16
    CLKHF_DIV => "0b11"
17
  )
18
  port map(
19
    CLKHFPU => '1',  --Tie pullup high
20
    CLKHFEN => '1',  --Enable clock output
21
    CLKHF   => clk   --Clock output
22
  );

: Bearbeitet durch Moderator
von Duke Scarring (Gast)


Lesenswert?

Lothar M. schrieb:
> CLKHF_DIV => "0b11"
0b11 sieht nach verilog-style aus. Aber wenn's ein string ist und 
richtig ausgewertet wird (das sollte man im Syntheselog kontrollieren!), 
wird das funktionieren...

von Fritz W. (fritz6392)


Lesenswert?

Hat funktioniert, danke!

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.